hardware: Import the flat flex breakout board

Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
This commit is contained in:
Sylvain Munaut 2023-03-11 20:11:47 +01:00
parent ff92be1507
commit b6ad4588cb
19 changed files with 3827 additions and 0 deletions

View File

@ -0,0 +1,17 @@
Electrical Engineering Change Notes
===================================
Revision 1.0
------------
### Description
First revision
### Manufactured
Boards ordered from PCBWay on December 26th 2023.
Made from gerbers in r1.0/fab directory.
Single board assembled for testing

View File

@ -0,0 +1,78 @@
%TF.GenerationSoftware,KiCad,Pcbnew,6.0.7*%
%TF.CreationDate,2022-12-25T19:04:28+01:00*%
%TF.ProjectId,breakout,62726561-6b6f-4757-942e-6b696361645f,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Copper,L2,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 6.0.7) date 2022-12-25 19:04:28*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%TA.AperFunction,ComponentPad*%
%ADD10R,1.700000X1.700000*%
%TD*%
%TA.AperFunction,ComponentPad*%
%ADD11O,1.700000X1.700000*%
%TD*%
G04 APERTURE END LIST*
D10*
%TO.P,J3,1,Pin_1*%
%TO.N,Net-(J2-Pad1)*%
X66670000Y-59700000D03*
D11*
%TO.P,J3,2,Pin_2*%
%TO.N,Net-(J2-Pad2)*%
X69210000Y-59700000D03*
%TO.P,J3,3,Pin_3*%
%TO.N,Net-(J2-Pad3)*%
X66670000Y-62240000D03*
%TO.P,J3,4,Pin_4*%
%TO.N,Net-(J2-Pad4)*%
X69210000Y-62240000D03*
%TO.P,J3,5,Pin_5*%
%TO.N,Net-(J2-Pad5)*%
X66670000Y-64780000D03*
%TO.P,J3,6,Pin_6*%
%TO.N,Net-(J2-Pad6)*%
X69210000Y-64780000D03*
%TO.P,J3,7,Pin_7*%
%TO.N,Net-(J2-Pad7)*%
X66670000Y-67320000D03*
%TO.P,J3,8,Pin_8*%
%TO.N,Net-(J2-Pad8)*%
X69210000Y-67320000D03*
%TO.P,J3,9,Pin_9*%
%TO.N,Net-(J2-Pad9)*%
X66670000Y-69860000D03*
%TO.P,J3,10,Pin_10*%
%TO.N,Net-(J2-Pad10)*%
X69210000Y-69860000D03*
%TO.P,J3,11,Pin_11*%
%TO.N,Net-(J2-Pad11)*%
X66670000Y-72400000D03*
%TO.P,J3,12,Pin_12*%
%TO.N,Net-(J2-Pad12)*%
X69210000Y-72400000D03*
%TO.P,J3,13,Pin_13*%
%TO.N,Net-(J2-Pad13)*%
X66670000Y-74940000D03*
%TO.P,J3,14,Pin_14*%
%TO.N,Net-(J2-Pad14)*%
X69210000Y-74940000D03*
%TO.P,J3,15,Pin_15*%
%TO.N,Net-(J2-Pad15)*%
X66670000Y-77480000D03*
%TO.P,J3,16,Pin_16*%
%TO.N,Net-(J2-Pad16)*%
X69210000Y-77480000D03*
%TO.P,J3,17,Pin_17*%
%TO.N,Net-(J2-Pad17)*%
X66670000Y-80020000D03*
%TO.P,J3,18,Pin_18*%
%TO.N,Net-(J2-Pad18)*%
X69210000Y-80020000D03*
%TD*%
M02*

View File

@ -0,0 +1,39 @@
%TF.GenerationSoftware,KiCad,Pcbnew,6.0.7*%
%TF.CreationDate,2022-12-25T19:04:28+01:00*%
%TF.ProjectId,breakout,62726561-6b6f-4757-942e-6b696361645f,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Soldermask,Bot*%
%TF.FilePolarity,Negative*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 6.0.7) date 2022-12-25 19:04:28*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10R,1.700000X1.700000*%
%ADD11O,1.700000X1.700000*%
G04 APERTURE END LIST*
D10*
%TO.C,J3*%
X66670000Y-59700000D03*
D11*
X69210000Y-59700000D03*
X66670000Y-62240000D03*
X69210000Y-62240000D03*
X66670000Y-64780000D03*
X69210000Y-64780000D03*
X66670000Y-67320000D03*
X69210000Y-67320000D03*
X66670000Y-69860000D03*
X69210000Y-69860000D03*
X66670000Y-72400000D03*
X69210000Y-72400000D03*
X66670000Y-74940000D03*
X69210000Y-74940000D03*
X66670000Y-77480000D03*
X69210000Y-77480000D03*
X66670000Y-80020000D03*
X69210000Y-80020000D03*
%TD*%
M02*

View File

@ -0,0 +1,15 @@
%TF.GenerationSoftware,KiCad,Pcbnew,6.0.7*%
%TF.CreationDate,2022-12-25T19:04:28+01:00*%
%TF.ProjectId,breakout,62726561-6b6f-4757-942e-6b696361645f,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Paste,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 6.0.7) date 2022-12-25 19:04:28*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 APERTURE END LIST*
M02*

View File

@ -0,0 +1,15 @@
%TF.GenerationSoftware,KiCad,Pcbnew,6.0.7*%
%TF.CreationDate,2022-12-25T19:04:28+01:00*%
%TF.ProjectId,breakout,62726561-6b6f-4757-942e-6b696361645f,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Legend,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 6.0.7) date 2022-12-25 19:04:28*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 APERTURE END LIST*
M02*

View File

@ -0,0 +1,26 @@
%TF.GenerationSoftware,KiCad,Pcbnew,6.0.7*%
%TF.CreationDate,2022-12-25T19:04:28+01:00*%
%TF.ProjectId,breakout,62726561-6b6f-4757-942e-6b696361645f,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Profile,NP*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 6.0.7) date 2022-12-25 19:04:28*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%TA.AperFunction,Profile*%
%ADD10C,0.050000*%
%TD*%
G04 APERTURE END LIST*
D10*
X50000000Y-57500000D02*
X72500000Y-57500000D01*
X72500000Y-57500000D02*
X72500000Y-82500000D01*
X72500000Y-82500000D02*
X50000000Y-82500000D01*
X50000000Y-82500000D02*
X50000000Y-57500000D01*
M02*

View File

@ -0,0 +1,305 @@
%TF.GenerationSoftware,KiCad,Pcbnew,6.0.7*%
%TF.CreationDate,2022-12-25T19:04:28+01:00*%
%TF.ProjectId,breakout,62726561-6b6f-4757-942e-6b696361645f,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Copper,L1,Top*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 6.0.7) date 2022-12-25 19:04:28*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%TA.AperFunction,ComponentPad*%
%ADD10R,1.700000X1.700000*%
%TD*%
%TA.AperFunction,ComponentPad*%
%ADD11O,1.700000X1.700000*%
%TD*%
%TA.AperFunction,SMDPad,CuDef*%
%ADD12R,1.300000X0.300000*%
%TD*%
%TA.AperFunction,SMDPad,CuDef*%
%ADD13R,2.200000X1.800000*%
%TD*%
%TA.AperFunction,Conductor*%
%ADD14C,0.200000*%
%TD*%
G04 APERTURE END LIST*
D10*
%TO.P,J3,1,Pin_1*%
%TO.N,Net-(J2-Pad1)*%
X66670000Y-59700000D03*
D11*
%TO.P,J3,2,Pin_2*%
%TO.N,Net-(J2-Pad2)*%
X69210000Y-59700000D03*
%TO.P,J3,3,Pin_3*%
%TO.N,Net-(J2-Pad3)*%
X66670000Y-62240000D03*
%TO.P,J3,4,Pin_4*%
%TO.N,Net-(J2-Pad4)*%
X69210000Y-62240000D03*
%TO.P,J3,5,Pin_5*%
%TO.N,Net-(J2-Pad5)*%
X66670000Y-64780000D03*
%TO.P,J3,6,Pin_6*%
%TO.N,Net-(J2-Pad6)*%
X69210000Y-64780000D03*
%TO.P,J3,7,Pin_7*%
%TO.N,Net-(J2-Pad7)*%
X66670000Y-67320000D03*
%TO.P,J3,8,Pin_8*%
%TO.N,Net-(J2-Pad8)*%
X69210000Y-67320000D03*
%TO.P,J3,9,Pin_9*%
%TO.N,Net-(J2-Pad9)*%
X66670000Y-69860000D03*
%TO.P,J3,10,Pin_10*%
%TO.N,Net-(J2-Pad10)*%
X69210000Y-69860000D03*
%TO.P,J3,11,Pin_11*%
%TO.N,Net-(J2-Pad11)*%
X66670000Y-72400000D03*
%TO.P,J3,12,Pin_12*%
%TO.N,Net-(J2-Pad12)*%
X69210000Y-72400000D03*
%TO.P,J3,13,Pin_13*%
%TO.N,Net-(J2-Pad13)*%
X66670000Y-74940000D03*
%TO.P,J3,14,Pin_14*%
%TO.N,Net-(J2-Pad14)*%
X69210000Y-74940000D03*
%TO.P,J3,15,Pin_15*%
%TO.N,Net-(J2-Pad15)*%
X66670000Y-77480000D03*
%TO.P,J3,16,Pin_16*%
%TO.N,Net-(J2-Pad16)*%
X69210000Y-77480000D03*
%TO.P,J3,17,Pin_17*%
%TO.N,Net-(J2-Pad17)*%
X66670000Y-80020000D03*
%TO.P,J3,18,Pin_18*%
%TO.N,Net-(J2-Pad18)*%
X69210000Y-80020000D03*
%TD*%
D12*
%TO.P,J2,1,Pin_1*%
%TO.N,Net-(J2-Pad1)*%
X57225000Y-65600000D03*
%TO.P,J2,2,Pin_2*%
%TO.N,Net-(J2-Pad2)*%
X57225000Y-66100000D03*
%TO.P,J2,3,Pin_3*%
%TO.N,Net-(J2-Pad3)*%
X57225000Y-66600000D03*
%TO.P,J2,4,Pin_4*%
%TO.N,Net-(J2-Pad4)*%
X57225000Y-67100000D03*
%TO.P,J2,5,Pin_5*%
%TO.N,Net-(J2-Pad5)*%
X57225000Y-67600000D03*
%TO.P,J2,6,Pin_6*%
%TO.N,Net-(J2-Pad6)*%
X57225000Y-68100000D03*
%TO.P,J2,7,Pin_7*%
%TO.N,Net-(J2-Pad7)*%
X57225000Y-68600000D03*
%TO.P,J2,8,Pin_8*%
%TO.N,Net-(J2-Pad8)*%
X57225000Y-69100000D03*
%TO.P,J2,9,Pin_9*%
%TO.N,Net-(J2-Pad9)*%
X57225000Y-69600000D03*
%TO.P,J2,10,Pin_10*%
%TO.N,Net-(J2-Pad10)*%
X57225000Y-70100000D03*
%TO.P,J2,11,Pin_11*%
%TO.N,Net-(J2-Pad11)*%
X57225000Y-70600000D03*
%TO.P,J2,12,Pin_12*%
%TO.N,Net-(J2-Pad12)*%
X57225000Y-71100000D03*
%TO.P,J2,13,Pin_13*%
%TO.N,Net-(J2-Pad13)*%
X57225000Y-71600000D03*
%TO.P,J2,14,Pin_14*%
%TO.N,Net-(J2-Pad14)*%
X57225000Y-72100000D03*
%TO.P,J2,15,Pin_15*%
%TO.N,Net-(J2-Pad15)*%
X57225000Y-72600000D03*
%TO.P,J2,16,Pin_16*%
%TO.N,Net-(J2-Pad16)*%
X57225000Y-73100000D03*
%TO.P,J2,17,Pin_17*%
%TO.N,Net-(J2-Pad17)*%
X57225000Y-73600000D03*
%TO.P,J2,18,Pin_18*%
%TO.N,Net-(J2-Pad18)*%
X57225000Y-74100000D03*
D13*
%TO.P,J2,S1*%
%TO.N,N/C*%
X53975000Y-63700000D03*
%TO.P,J2,S2*%
X53975000Y-76000000D03*
%TD*%
D14*
%TO.N,Net-(J2-Pad1)*%
X64135000Y-59690000D02*
X66660000Y-59690000D01*
X57225000Y-65600000D02*
X58225000Y-65600000D01*
X66660000Y-59690000D02*
X66670000Y-59700000D01*
X58225000Y-65600000D02*
X64135000Y-59690000D01*
%TO.N,Net-(J2-Pad2)*%
X67950000Y-60960000D02*
X69210000Y-59700000D01*
X63500000Y-60960000D02*
X67950000Y-60960000D01*
X57225000Y-66100000D02*
X58360000Y-66100000D01*
X58360000Y-66100000D02*
X63500000Y-60960000D01*
%TO.N,Net-(J2-Pad3)*%
X62865000Y-62230000D02*
X66660000Y-62230000D01*
X58495000Y-66600000D02*
X62865000Y-62230000D01*
X66660000Y-62230000D02*
X66670000Y-62240000D01*
X57225000Y-66600000D02*
X58495000Y-66600000D01*
%TO.N,Net-(J2-Pad4)*%
X62230000Y-63500000D02*
X67950000Y-63500000D01*
X67950000Y-63500000D02*
X69210000Y-62240000D01*
X58630000Y-67100000D02*
X62230000Y-63500000D01*
X57225000Y-67100000D02*
X58630000Y-67100000D01*
%TO.N,Net-(J2-Pad5)*%
X57225000Y-67600000D02*
X58765000Y-67600000D01*
X66660000Y-64770000D02*
X66670000Y-64780000D01*
X58765000Y-67600000D02*
X61595000Y-64770000D01*
X61595000Y-64770000D02*
X66660000Y-64770000D01*
%TO.N,Net-(J2-Pad6)*%
X58900000Y-68100000D02*
X60960000Y-66040000D01*
X67950000Y-66040000D02*
X69210000Y-64780000D01*
X57225000Y-68100000D02*
X58900000Y-68100000D01*
X60960000Y-66040000D02*
X67950000Y-66040000D01*
%TO.N,Net-(J2-Pad7)*%
X66660000Y-67310000D02*
X66670000Y-67320000D01*
X57225000Y-68600000D02*
X59035000Y-68600000D01*
X60325000Y-67310000D02*
X66660000Y-67310000D01*
X59035000Y-68600000D02*
X60325000Y-67310000D01*
%TO.N,Net-(J2-Pad8)*%
X59690000Y-68580000D02*
X67950000Y-68580000D01*
X67950000Y-68580000D02*
X69210000Y-67320000D01*
X57225000Y-69100000D02*
X59170000Y-69100000D01*
X59170000Y-69100000D02*
X59690000Y-68580000D01*
%TO.N,Net-(J2-Pad9)*%
X59700000Y-69860000D02*
X66670000Y-69860000D01*
X57225000Y-69600000D02*
X59440000Y-69600000D01*
X59440000Y-69600000D02*
X59700000Y-69860000D01*
%TO.N,Net-(J2-Pad10)*%
X57225000Y-70100000D02*
X59305000Y-70100000D01*
X67950000Y-71120000D02*
X69210000Y-69860000D01*
X60325000Y-71120000D02*
X67950000Y-71120000D01*
X59305000Y-70100000D02*
X60325000Y-71120000D01*
%TO.N,Net-(J2-Pad11)*%
X59170000Y-70600000D02*
X60970000Y-72400000D01*
X60970000Y-72400000D02*
X66670000Y-72400000D01*
X57225000Y-70600000D02*
X59170000Y-70600000D01*
%TO.N,Net-(J2-Pad12)*%
X57225000Y-71100000D02*
X59035000Y-71100000D01*
X67950000Y-73660000D02*
X69210000Y-72400000D01*
X61595000Y-73660000D02*
X67950000Y-73660000D01*
X59035000Y-71100000D02*
X61595000Y-73660000D01*
%TO.N,Net-(J2-Pad13)*%
X58900000Y-71600000D02*
X62240000Y-74940000D01*
X62240000Y-74940000D02*
X66670000Y-74940000D01*
X57225000Y-71600000D02*
X58900000Y-71600000D01*
%TO.N,Net-(J2-Pad14)*%
X67950000Y-76200000D02*
X69210000Y-74940000D01*
X58765000Y-72100000D02*
X62865000Y-76200000D01*
X62865000Y-76200000D02*
X67950000Y-76200000D01*
X57225000Y-72100000D02*
X58765000Y-72100000D01*
%TO.N,Net-(J2-Pad15)*%
X58630000Y-72600000D02*
X63510000Y-77480000D01*
X57225000Y-72600000D02*
X58630000Y-72600000D01*
X63510000Y-77480000D02*
X66670000Y-77480000D01*
%TO.N,Net-(J2-Pad16)*%
X64135000Y-78740000D02*
X67950000Y-78740000D01*
X57225000Y-73100000D02*
X58495000Y-73100000D01*
X58495000Y-73100000D02*
X64135000Y-78740000D01*
X67950000Y-78740000D02*
X69210000Y-77480000D01*
%TO.N,Net-(J2-Pad17)*%
X58360000Y-73600000D02*
X64770000Y-80010000D01*
X64770000Y-80010000D02*
X66660000Y-80010000D01*
X66660000Y-80010000D02*
X66670000Y-80020000D01*
X57225000Y-73600000D02*
X58360000Y-73600000D01*
%TO.N,Net-(J2-Pad18)*%
X57225000Y-74100000D02*
X58225000Y-74100000D01*
X65405000Y-81280000D02*
X67950000Y-81280000D01*
X67950000Y-81280000D02*
X69210000Y-80020000D01*
X58225000Y-74100000D02*
X65405000Y-81280000D01*
%TD*%
M02*

View File

@ -0,0 +1,65 @@
%TF.GenerationSoftware,KiCad,Pcbnew,6.0.7*%
%TF.CreationDate,2022-12-25T19:04:28+01:00*%
%TF.ProjectId,breakout,62726561-6b6f-4757-942e-6b696361645f,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Soldermask,Top*%
%TF.FilePolarity,Negative*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 6.0.7) date 2022-12-25 19:04:28*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10R,1.700000X1.700000*%
%ADD11O,1.700000X1.700000*%
%ADD12R,1.300000X0.300000*%
%ADD13R,2.200000X1.800000*%
G04 APERTURE END LIST*
D10*
%TO.C,J3*%
X66670000Y-59700000D03*
D11*
X69210000Y-59700000D03*
X66670000Y-62240000D03*
X69210000Y-62240000D03*
X66670000Y-64780000D03*
X69210000Y-64780000D03*
X66670000Y-67320000D03*
X69210000Y-67320000D03*
X66670000Y-69860000D03*
X69210000Y-69860000D03*
X66670000Y-72400000D03*
X69210000Y-72400000D03*
X66670000Y-74940000D03*
X69210000Y-74940000D03*
X66670000Y-77480000D03*
X69210000Y-77480000D03*
X66670000Y-80020000D03*
X69210000Y-80020000D03*
%TD*%
D12*
%TO.C,J2*%
X57225000Y-65600000D03*
X57225000Y-66100000D03*
X57225000Y-66600000D03*
X57225000Y-67100000D03*
X57225000Y-67600000D03*
X57225000Y-68100000D03*
X57225000Y-68600000D03*
X57225000Y-69100000D03*
X57225000Y-69600000D03*
X57225000Y-70100000D03*
X57225000Y-70600000D03*
X57225000Y-71100000D03*
X57225000Y-71600000D03*
X57225000Y-72100000D03*
X57225000Y-72600000D03*
X57225000Y-73100000D03*
X57225000Y-73600000D03*
X57225000Y-74100000D03*
D13*
X53975000Y-63700000D03*
X53975000Y-76000000D03*
%TD*%
M02*

View File

@ -0,0 +1,41 @@
%TF.GenerationSoftware,KiCad,Pcbnew,6.0.7*%
%TF.CreationDate,2022-12-25T19:04:28+01:00*%
%TF.ProjectId,breakout,62726561-6b6f-4757-942e-6b696361645f,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Paste,Top*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 6.0.7) date 2022-12-25 19:04:28*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10R,1.300000X0.300000*%
%ADD11R,2.200000X1.800000*%
G04 APERTURE END LIST*
D10*
%TO.C,J2*%
X57225000Y-65600000D03*
X57225000Y-66100000D03*
X57225000Y-66600000D03*
X57225000Y-67100000D03*
X57225000Y-67600000D03*
X57225000Y-68100000D03*
X57225000Y-68600000D03*
X57225000Y-69100000D03*
X57225000Y-69600000D03*
X57225000Y-70100000D03*
X57225000Y-70600000D03*
X57225000Y-71100000D03*
X57225000Y-71600000D03*
X57225000Y-72100000D03*
X57225000Y-72600000D03*
X57225000Y-73100000D03*
X57225000Y-73600000D03*
X57225000Y-74100000D03*
D11*
X53975000Y-63700000D03*
X53975000Y-76000000D03*
%TD*%
M02*

View File

@ -0,0 +1,100 @@
%TF.GenerationSoftware,KiCad,Pcbnew,6.0.7*%
%TF.CreationDate,2022-12-25T19:04:28+01:00*%
%TF.ProjectId,breakout,62726561-6b6f-4757-942e-6b696361645f,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Legend,Top*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 6.0.7) date 2022-12-25 19:04:28*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.150000*%
%ADD11C,0.075000*%
%ADD12C,0.120000*%
%ADD13C,0.200000*%
G04 APERTURE END LIST*
D10*
%TO.C,J3*%
X67606666Y-56822380D02*
X67606666Y-57536666D01*
X67559047Y-57679523D01*
X67463809Y-57774761D01*
X67320952Y-57822380D01*
X67225714Y-57822380D01*
X67987619Y-56822380D02*
X68606666Y-56822380D01*
X68273333Y-57203333D01*
X68416190Y-57203333D01*
X68511428Y-57250952D01*
X68559047Y-57298571D01*
X68606666Y-57393809D01*
X68606666Y-57631904D01*
X68559047Y-57727142D01*
X68511428Y-57774761D01*
X68416190Y-57822380D01*
X68130476Y-57822380D01*
X68035238Y-57774761D01*
X67987619Y-57727142D01*
D11*
%TO.C,J2*%
X57130190Y-64306666D02*
X57487333Y-64306666D01*
X57558761Y-64330476D01*
X57606380Y-64378095D01*
X57630190Y-64449523D01*
X57630190Y-64497142D01*
X57177809Y-64092380D02*
X57154000Y-64068571D01*
X57130190Y-64020952D01*
X57130190Y-63901904D01*
X57154000Y-63854285D01*
X57177809Y-63830476D01*
X57225428Y-63806666D01*
X57273047Y-63806666D01*
X57344476Y-63830476D01*
X57630190Y-64116190D01*
X57630190Y-63806666D01*
D12*
%TO.C,J3*%
X65340000Y-60970000D02*
X65340000Y-81350000D01*
X67940000Y-58370000D02*
X70540000Y-58370000D01*
X70540000Y-58370000D02*
X70540000Y-81350000D01*
X65340000Y-58370000D02*
X66670000Y-58370000D01*
X65340000Y-60970000D02*
X67940000Y-60970000D01*
X65340000Y-81350000D02*
X70540000Y-81350000D01*
X65340000Y-59700000D02*
X65340000Y-58370000D01*
X67940000Y-60970000D02*
X67940000Y-58370000D01*
D13*
%TO.C,J2*%
X56675000Y-76550000D02*
X55395000Y-76550000D01*
X55395000Y-63150000D02*
X56675000Y-63150000D01*
X50625000Y-76550000D02*
X52555000Y-76550000D01*
X50625000Y-76550000D02*
X50625000Y-63150000D01*
X50625000Y-63150000D02*
X52555000Y-63150000D01*
X56675000Y-74570000D02*
X56675000Y-76550000D01*
X56675000Y-63150000D02*
X56675000Y-65130000D01*
X58721000Y-65600000D02*
G75*
G03*
X58721000Y-65600000I-100000J0D01*
G01*
%TD*%
M02*

View File

@ -0,0 +1,13 @@
M48
; DRILL file {KiCad 6.0.7} date Sun 25 Dec 2022 07:04:25 PM CET
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2022-12-25T19:04:25+01:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,6.0.7
; #@! TF.FileFunction,NonPlated,1,2,NPTH
FMAT,2
INCH
%
G90
G05
T0
M30

View File

@ -0,0 +1,34 @@
M48
; DRILL file {KiCad 6.0.7} date Sun 25 Dec 2022 07:04:25 PM CET
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2022-12-25T19:04:25+01:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,6.0.7
; #@! TF.FileFunction,Plated,1,2,PTH
FMAT,2
INCH
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T1C0.0394
%
G90
G05
T1
X2.6248Y-2.3504
X2.6248Y-2.4504
X2.6248Y-2.5504
X2.6248Y-2.6504
X2.6248Y-2.7504
X2.6248Y-2.8504
X2.6248Y-2.9504
X2.6248Y-3.0504
X2.6248Y-3.1504
X2.7248Y-2.3504
X2.7248Y-2.4504
X2.7248Y-2.5504
X2.7248Y-2.6504
X2.7248Y-2.7504
X2.7248Y-2.8504
X2.7248Y-2.9504
X2.7248Y-3.0504
X2.7248Y-3.1504
T0
M30

View File

@ -0,0 +1,125 @@
{
"Header": {
"GenerationSoftware": {
"Vendor": "KiCad",
"Application": "Pcbnew",
"Version": "6.0.7"
},
"CreationDate": "2022-12-25T19:04:28+01:00"
},
"GeneralSpecs": {
"ProjectId": {
"Name": "breakout",
"GUID": "62726561-6b6f-4757-942e-6b696361645f",
"Revision": "rev?"
},
"Size": {
"X": 22.55,
"Y": 25.05
},
"LayerNumber": 2,
"BoardThickness": 1.09,
"Finish": "None"
},
"DesignRules": [
{
"Layers": "Outer",
"PadToPad": 0.0,
"PadToTrack": 0.0,
"TrackToTrack": 0.2,
"MinLineWidth": 0.2
}
],
"FilesAttributes": [
{
"Path": "breakout-F_Cu.gbr",
"FileFunction": "Copper,L1,Top",
"FilePolarity": "Positive"
},
{
"Path": "breakout-B_Cu.gbr",
"FileFunction": "Copper,L2,Bot",
"FilePolarity": "Positive"
},
{
"Path": "breakout-F_Paste.gbr",
"FileFunction": "SolderPaste,Top",
"FilePolarity": "Positive"
},
{
"Path": "breakout-B_Paste.gbr",
"FileFunction": "SolderPaste,Bot",
"FilePolarity": "Positive"
},
{
"Path": "breakout-F_Silkscreen.gbr",
"FileFunction": "Legend,Top",
"FilePolarity": "Positive"
},
{
"Path": "breakout-B_Silkscreen.gbr",
"FileFunction": "Legend,Bot",
"FilePolarity": "Positive"
},
{
"Path": "breakout-F_Mask.gbr",
"FileFunction": "SolderMask,Top",
"FilePolarity": "Negative"
},
{
"Path": "breakout-B_Mask.gbr",
"FileFunction": "SolderMask,Bot",
"FilePolarity": "Negative"
},
{
"Path": "breakout-Edge_Cuts.gbr",
"FileFunction": "Profile",
"FilePolarity": "Positive"
}
],
"MaterialStackup": [
{
"Type": "Legend",
"Name": "Top Silk Screen"
},
{
"Type": "SolderPaste",
"Name": "Top Solder Paste"
},
{
"Type": "SolderMask",
"Thickness": 0.01,
"Name": "Top Solder Mask"
},
{
"Type": "Copper",
"Thickness": 0.035,
"Name": "F.Cu"
},
{
"Type": "Dielectric",
"Thickness": 1.0,
"Material": "FR4",
"Name": "F.Cu/B.Cu",
"Notes": "Type: dielectric layer 1 (from F.Cu to B.Cu)"
},
{
"Type": "Copper",
"Thickness": 0.035,
"Name": "B.Cu"
},
{
"Type": "SolderMask",
"Thickness": 0.01,
"Name": "Bottom Solder Mask"
},
{
"Type": "SolderPaste",
"Name": "Bottom Solder Paste"
},
{
"Type": "Legend",
"Name": "Bottom Silk Screen"
}
]
}

View File

@ -0,0 +1,346 @@
(kicad_pcb (version 20211014) (generator pcbnew)
(general
(thickness 1.09)
)
(paper "A4")
(title_block
(date "2022-12-26")
(rev "1.0")
)
(layers
(0 "F.Cu" signal)
(31 "B.Cu" signal)
(32 "B.Adhes" user "B.Adhesive")
(33 "F.Adhes" user "F.Adhesive")
(34 "B.Paste" user)
(35 "F.Paste" user)
(36 "B.SilkS" user "B.Silkscreen")
(37 "F.SilkS" user "F.Silkscreen")
(38 "B.Mask" user)
(39 "F.Mask" user)
(40 "Dwgs.User" user "User.Drawings")
(41 "Cmts.User" user "User.Comments")
(42 "Eco1.User" user "User.Eco1")
(43 "Eco2.User" user "User.Eco2")
(44 "Edge.Cuts" user)
(45 "Margin" user)
(46 "B.CrtYd" user "B.Courtyard")
(47 "F.CrtYd" user "F.Courtyard")
(48 "B.Fab" user)
(49 "F.Fab" user)
(50 "User.1" user)
(51 "User.2" user)
(52 "User.3" user)
(53 "User.4" user)
(54 "User.5" user)
(55 "User.6" user)
(56 "User.7" user)
(57 "User.8" user)
(58 "User.9" user)
)
(setup
(stackup
(layer "F.SilkS" (type "Top Silk Screen"))
(layer "F.Paste" (type "Top Solder Paste"))
(layer "F.Mask" (type "Top Solder Mask") (thickness 0.01))
(layer "F.Cu" (type "copper") (thickness 0.035))
(layer "dielectric 1" (type "core") (thickness 1) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02))
(layer "B.Cu" (type "copper") (thickness 0.035))
(layer "B.Mask" (type "Bottom Solder Mask") (thickness 0.01))
(layer "B.Paste" (type "Bottom Solder Paste"))
(layer "B.SilkS" (type "Bottom Silk Screen"))
(copper_finish "None")
(dielectric_constraints no)
)
(pad_to_mask_clearance 0)
(pcbplotparams
(layerselection 0x00010fc_ffffffff)
(disableapertmacros false)
(usegerberextensions false)
(usegerberattributes true)
(usegerberadvancedattributes true)
(creategerberjobfile true)
(svguseinch false)
(svgprecision 6)
(excludeedgelayer true)
(plotframeref false)
(viasonmask false)
(mode 1)
(useauxorigin false)
(hpglpennumber 1)
(hpglpenspeed 20)
(hpglpendiameter 15.000000)
(dxfpolygonmode true)
(dxfimperialunits true)
(dxfusepcbnewfont true)
(psnegative false)
(psa4output false)
(plotreference true)
(plotvalue true)
(plotinvisibletext false)
(sketchpadsonfab false)
(subtractmaskfromsilk false)
(outputformat 1)
(mirror false)
(drillshape 0)
(scaleselection 1)
(outputdirectory "out/")
)
)
(net 0 "")
(net 1 "Net-(J1-Pad1)")
(net 2 "Net-(J1-Pad2)")
(net 3 "Net-(J1-Pad3)")
(net 4 "Net-(J1-Pad4)")
(net 5 "Net-(J1-Pad5)")
(net 6 "Net-(J1-Pad6)")
(net 7 "Net-(J1-Pad7)")
(net 8 "Net-(J1-Pad8)")
(net 9 "Net-(J1-Pad9)")
(net 10 "Net-(J1-Pad10)")
(net 11 "Net-(J1-Pad11)")
(net 12 "Net-(J1-Pad12)")
(net 13 "Net-(J1-Pad13)")
(net 14 "Net-(J1-Pad14)")
(net 15 "Net-(J1-Pad15)")
(net 16 "Net-(J1-Pad16)")
(net 17 "Net-(J1-Pad17)")
(net 18 "Net-(J1-Pad18)")
(footprint "Connector_PinHeader_2.54mm:PinHeader_2x09_P2.54mm_Vertical" (layer "F.Cu")
(tedit 59FED5CC) (tstamp 08d43801-38cd-4696-bbe2-70dac37693cc)
(at 66.67 59.7)
(descr "Through hole straight pin header, 2x09, 2.54mm pitch, double rows")
(tags "Through hole pin header THT 2x09 2.54mm double row")
(property "Sheetfile" "")
(property "Sheetname" "")
(path "/63b17cb0-bb35-429a-89c4-404a2f061470")
(attr through_hole)
(fp_text reference "J2" (at 1.27 -2.33) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 0e4e49b8-7b79-4a18-a66a-c92ea50fccf0)
)
(fp_text value "Breakout" (at 4.93 18.55 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp b799049a-2096-4a91-855c-fc1f741fefff)
)
(fp_text user "${REFERENCE}" (at 1.27 10.16 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp bc739ae5-1aea-45d3-a51b-f51fbee679e7)
)
(fp_line (start -1.33 1.27) (end -1.33 21.65) (layer "F.SilkS") (width 0.12) (tstamp 3d65cc0e-5dc7-4adc-8c52-c17ac47d720b))
(fp_line (start 1.27 -1.33) (end 3.87 -1.33) (layer "F.SilkS") (width 0.12) (tstamp 4850a5d6-39b4-4242-bc1a-8cdd05c81880))
(fp_line (start 3.87 -1.33) (end 3.87 21.65) (layer "F.SilkS") (width 0.12) (tstamp 51988664-f54f-417e-b44a-2b85aa5d2803))
(fp_line (start -1.33 -1.33) (end 0 -1.33) (layer "F.SilkS") (width 0.12) (tstamp 62eaab0d-f802-4d81-aeaa-5941b582935f))
(fp_line (start -1.33 1.27) (end 1.27 1.27) (layer "F.SilkS") (width 0.12) (tstamp 7963e9b8-a97d-492c-93b1-0a07b30efe9c))
(fp_line (start -1.33 21.65) (end 3.87 21.65) (layer "F.SilkS") (width 0.12) (tstamp 8207dcc0-1401-4b9f-b886-53d912a93074))
(fp_line (start -1.33 0) (end -1.33 -1.33) (layer "F.SilkS") (width 0.12) (tstamp 874b1e7d-cf90-41eb-8337-aa90f4550b5b))
(fp_line (start 1.27 1.27) (end 1.27 -1.33) (layer "F.SilkS") (width 0.12) (tstamp 928082db-41fc-4409-a880-954638b6cefb))
(fp_line (start 4.35 22.1) (end 4.35 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 0b0f94ce-d591-4528-86bd-bd14df8fa515))
(fp_line (start -1.8 -1.8) (end -1.8 22.1) (layer "F.CrtYd") (width 0.05) (tstamp 1a23bae9-acd8-4128-b8eb-2cbecb6bb2b1))
(fp_line (start 4.35 -1.8) (end -1.8 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 5d18ca24-0ad5-4857-a76b-1d382ae53027))
(fp_line (start -1.8 22.1) (end 4.35 22.1) (layer "F.CrtYd") (width 0.05) (tstamp fb57e633-b243-4aeb-8e66-e7cc84f6ba42))
(fp_line (start 3.81 -1.27) (end 3.81 21.59) (layer "F.Fab") (width 0.1) (tstamp 3c2b236d-2eff-4878-ba11-0ced985da9e7))
(fp_line (start 0 -1.27) (end 3.81 -1.27) (layer "F.Fab") (width 0.1) (tstamp 4edeff7b-eda7-4d7c-a7fc-1e3f70369677))
(fp_line (start 3.81 21.59) (end -1.27 21.59) (layer "F.Fab") (width 0.1) (tstamp 7b906418-e49f-42e8-8b27-408d073eb48f))
(fp_line (start -1.27 0) (end 0 -1.27) (layer "F.Fab") (width 0.1) (tstamp 99689225-3211-4539-9286-32e698b97e48))
(fp_line (start -1.27 21.59) (end -1.27 0) (layer "F.Fab") (width 0.1) (tstamp d2a48584-ece7-4d56-97e5-7832ba701ab7))
(pad "1" thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 1 "Net-(J1-Pad1)") (pinfunction "Pin_1") (pintype "passive") (tstamp e262c0af-6784-4e27-9fe9-dcf59bcaf645))
(pad "2" thru_hole oval (at 2.54 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 2 "Net-(J1-Pad2)") (pinfunction "Pin_2") (pintype "passive") (tstamp 2f709d51-b4a6-48e6-b0f2-9047b1554c30))
(pad "3" thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 3 "Net-(J1-Pad3)") (pinfunction "Pin_3") (pintype "passive") (tstamp 4fb4727c-0b3c-4cdd-8b32-8a86708fb8c6))
(pad "4" thru_hole oval (at 2.54 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 4 "Net-(J1-Pad4)") (pinfunction "Pin_4") (pintype "passive") (tstamp f50db390-a061-4cf0-ad0d-3a0b77e5a073))
(pad "5" thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 5 "Net-(J1-Pad5)") (pinfunction "Pin_5") (pintype "passive") (tstamp 9c2d0ae2-8800-4451-beaf-545529a96b6c))
(pad "6" thru_hole oval (at 2.54 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 6 "Net-(J1-Pad6)") (pinfunction "Pin_6") (pintype "passive") (tstamp e54f99a1-3c37-42a8-a318-d9c93bc9b3c8))
(pad "7" thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 7 "Net-(J1-Pad7)") (pinfunction "Pin_7") (pintype "passive") (tstamp 70ef9d17-9375-4ab0-8095-0bb19eaeaaa7))
(pad "8" thru_hole oval (at 2.54 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 8 "Net-(J1-Pad8)") (pinfunction "Pin_8") (pintype "passive") (tstamp ebae0a19-e34a-4830-9f21-d6250c5433c0))
(pad "9" thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 9 "Net-(J1-Pad9)") (pinfunction "Pin_9") (pintype "passive") (tstamp c4657ee0-8f58-43e4-911a-a7f9107350c8))
(pad "10" thru_hole oval (at 2.54 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 10 "Net-(J1-Pad10)") (pinfunction "Pin_10") (pintype "passive") (tstamp 75227cf2-c6c4-451e-ab46-39855c3d0de4))
(pad "11" thru_hole oval (at 0 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 11 "Net-(J1-Pad11)") (pinfunction "Pin_11") (pintype "passive") (tstamp e4f89308-65c3-4515-99a0-8d10d2dd86d3))
(pad "12" thru_hole oval (at 2.54 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 12 "Net-(J1-Pad12)") (pinfunction "Pin_12") (pintype "passive") (tstamp 0b9db6a1-73f2-440f-b23c-154d37a55152))
(pad "13" thru_hole oval (at 0 15.24) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 13 "Net-(J1-Pad13)") (pinfunction "Pin_13") (pintype "passive") (tstamp 1a4cabf4-bb88-4dda-b02f-1ad168206506))
(pad "14" thru_hole oval (at 2.54 15.24) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 14 "Net-(J1-Pad14)") (pinfunction "Pin_14") (pintype "passive") (tstamp f9f4f927-efb9-4680-8e93-dcd266c2152e))
(pad "15" thru_hole oval (at 0 17.78) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 15 "Net-(J1-Pad15)") (pinfunction "Pin_15") (pintype "passive") (tstamp 2507c2b6-86ba-4729-8a2b-afd5473e61cb))
(pad "16" thru_hole oval (at 2.54 17.78) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 16 "Net-(J1-Pad16)") (pinfunction "Pin_16") (pintype "passive") (tstamp 3158c95a-120b-4507-844c-5bd755428b51))
(pad "17" thru_hole oval (at 0 20.32) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 "Net-(J1-Pad17)") (pinfunction "Pin_17") (pintype "passive") (tstamp e5b6e39c-7078-4c16-8959-fb6d3101900c))
(pad "18" thru_hole oval (at 2.54 20.32) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 18 "Net-(J1-Pad18)") (pinfunction "Pin_18") (pintype "passive") (tstamp 0a252a6b-f0de-4f54-8258-79e35c7a19e0))
(model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_2x09_P2.54mm_Vertical.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(footprint "s47-imported:GCT_FFC2B35-18-G_REVA2" (layer "F.Cu")
(tedit 632A1D32) (tstamp 3b95a192-f7d9-4244-b5b0-fa81a40a0bae)
(at 53.975 69.85 -90)
(property "Sheetfile" "")
(property "Sheetname" "")
(path "/89c9640c-0aba-416f-afe1-240cf3d6f905")
(attr through_hole)
(fp_text reference "J1" (at -5.71 -3.429 90) (layer "F.SilkS") hide
(effects (font (size 0.5 0.5) (thickness 0.075)))
(tstamp bc3a13e6-949b-4b58-9f93-96c11fe8d543)
)
(fp_text value "FlatFlex" (at 0 -0.025 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 789cb7b3-c2eb-474a-b2d7-9daa0e7d696c)
)
(fp_line (start 6.7 -2.7) (end 6.7 -1.42) (layer "F.SilkS") (width 0.2) (tstamp 0669e2d3-6cd6-4d55-88e7-0ccd29623121))
(fp_line (start -6.7 -1.42) (end -6.7 -2.7) (layer "F.SilkS") (width 0.2) (tstamp 1329f5bb-5fe9-41b1-8575-8f6d5c20c16a))
(fp_line (start 6.7 3.35) (end 6.7 1.42) (layer "F.SilkS") (width 0.2) (tstamp 68f071bc-c7ec-4ac7-bbd3-8740c3d629cb))
(fp_line (start 6.7 3.35) (end -6.7 3.35) (layer "F.SilkS") (width 0.2) (tstamp 7627f6ce-4638-4bb5-804c-ddae154488db))
(fp_line (start -6.7 3.35) (end -6.7 1.42) (layer "F.SilkS") (width 0.2) (tstamp 98c97ac8-4edd-42ee-aee9-25efe413dfe1))
(fp_line (start 4.72 -2.7) (end 6.7 -2.7) (layer "F.SilkS") (width 0.2) (tstamp ad4162c1-9e4a-481e-a554-62e5e55364ba))
(fp_line (start -6.7 -2.7) (end -4.72 -2.7) (layer "F.SilkS") (width 0.2) (tstamp bdb254bf-051a-4c52-93f2-237713690c7a))
(fp_circle (center -4.25 -4.646) (end -4.15 -4.646) (layer "F.SilkS") (width 0.2) (fill none) (tstamp ec0a8d54-3418-42ae-be03-08093bccacb4))
(fp_line (start -7.3 3.6) (end 7.3 3.6) (layer "F.CrtYd") (width 0.05) (tstamp 23b783e7-c1f6-4b31-bedd-a4423123323b))
(fp_line (start 7.3 3.6) (end 7.3 -4.15) (layer "F.CrtYd") (width 0.05) (tstamp dfb8651e-e6b9-475f-bc29-9a304c43eb02))
(fp_line (start -7.3 -4.15) (end -7.3 3.6) (layer "F.CrtYd") (width 0.05) (tstamp f5f624a5-43c8-4b86-a7e2-aa73bafb0885))
(fp_line (start 7.3 -4.15) (end -7.3 -4.15) (layer "F.CrtYd") (width 0.05) (tstamp fe6dff00-223a-4e43-b342-7796ef9108a3))
(fp_line (start 6.7 -2.7) (end 6.7 3.35) (layer "F.Fab") (width 0.1) (tstamp 036941fb-c840-4257-a55c-07a10f472bf7))
(fp_line (start -6.7 3.35) (end -6.7 -2.7) (layer "F.Fab") (width 0.1) (tstamp 3861a92f-a3a3-4a4e-b94f-f290c98bddfc))
(fp_line (start 6.7 3.35) (end -6.7 3.35) (layer "F.Fab") (width 0.1) (tstamp 66a02c33-a994-4b76-b0c5-8b33c7e21700))
(fp_line (start -6.7 -2.7) (end 6.7 -2.7) (layer "F.Fab") (width 0.1) (tstamp 9ee76ee9-409a-4626-851c-82bb09d810e8))
(fp_circle (center -4.25 -4.646) (end -4.15 -4.646) (layer "F.Fab") (width 0.2) (fill none) (tstamp 99270f73-02b0-4b4a-8832-259cf627b448))
(pad "1" smd rect (at -4.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 1 "Net-(J1-Pad1)") (pinfunction "Pin_1") (pintype "passive") (tstamp ebcfcf6b-f883-4ee8-ac23-ee1a5f262fc3))
(pad "2" smd rect (at -3.75 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 2 "Net-(J1-Pad2)") (pinfunction "Pin_2") (pintype "passive") (tstamp a51ea576-0609-4a39-a5cd-db580f77626b))
(pad "3" smd rect (at -3.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 3 "Net-(J1-Pad3)") (pinfunction "Pin_3") (pintype "passive") (tstamp 7992028f-2b80-415e-9518-82b2516dc6db))
(pad "4" smd rect (at -2.75 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 4 "Net-(J1-Pad4)") (pinfunction "Pin_4") (pintype "passive") (tstamp 9df3c81a-bb00-46ef-bf43-34ad4b7b5bd3))
(pad "5" smd rect (at -2.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 5 "Net-(J1-Pad5)") (pinfunction "Pin_5") (pintype "passive") (tstamp c0385c2c-16ff-49cd-bb3e-cb7ac54c558a))
(pad "6" smd rect (at -1.75 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 6 "Net-(J1-Pad6)") (pinfunction "Pin_6") (pintype "passive") (tstamp 22808eea-9991-48ca-8f2e-f5b745b1090d))
(pad "7" smd rect (at -1.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 7 "Net-(J1-Pad7)") (pinfunction "Pin_7") (pintype "passive") (tstamp 59ac423b-9a15-44ae-b095-f8c5d7f95d41))
(pad "8" smd rect (at -0.75 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 8 "Net-(J1-Pad8)") (pinfunction "Pin_8") (pintype "passive") (tstamp 17e9eb53-6cf1-4177-aa84-4edb6fb7a05b))
(pad "9" smd rect (at -0.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 9 "Net-(J1-Pad9)") (pinfunction "Pin_9") (pintype "passive") (tstamp e8d4882a-c6ad-49f6-983b-f2f79372ca8c))
(pad "10" smd rect (at 0.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 10 "Net-(J1-Pad10)") (pinfunction "Pin_10") (pintype "passive") (tstamp 14edda84-5fd7-4527-8b3f-4f0e250e29c6))
(pad "11" smd rect (at 0.75 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 11 "Net-(J1-Pad11)") (pinfunction "Pin_11") (pintype "passive") (tstamp 17ef8bd8-0186-4aad-959b-5d86f4e2158d))
(pad "12" smd rect (at 1.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 12 "Net-(J1-Pad12)") (pinfunction "Pin_12") (pintype "passive") (tstamp fcf8e3de-2119-4753-be92-ec7568ddf42a))
(pad "13" smd rect (at 1.75 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 13 "Net-(J1-Pad13)") (pinfunction "Pin_13") (pintype "passive") (tstamp c5b364d6-1942-4368-b947-35d9ea520afa))
(pad "14" smd rect (at 2.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 14 "Net-(J1-Pad14)") (pinfunction "Pin_14") (pintype "passive") (tstamp 0b47bd30-b8f4-4d44-8824-c781740a9db6))
(pad "15" smd rect (at 2.75 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 15 "Net-(J1-Pad15)") (pinfunction "Pin_15") (pintype "passive") (tstamp f33de834-6394-44ff-8590-5a1c11d2bb2e))
(pad "16" smd rect (at 3.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 16 "Net-(J1-Pad16)") (pinfunction "Pin_16") (pintype "passive") (tstamp 2a23835c-2d6d-43f4-b7ea-45df3be8d456))
(pad "17" smd rect (at 3.75 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 17 "Net-(J1-Pad17)") (pinfunction "Pin_17") (pintype "passive") (tstamp 2618af75-f350-4414-8921-ef8ab579c2dc))
(pad "18" smd rect (at 4.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 18 "Net-(J1-Pad18)") (pinfunction "Pin_18") (pintype "passive") (tstamp 6d35269e-257a-4717-ac18-e034a31643be))
(pad "S1" smd rect (at -6.15 0 270) (size 1.8 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f413d8fa-10d9-4c00-9da5-2bfbfe96fea7))
(pad "S2" smd rect (at 6.15 0 270) (size 1.8 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 173e8926-57c0-492a-9826-6c0a252b0d88))
)
(gr_line (start 49.999999 82.000001) (end 50 58) (layer "Edge.Cuts") (width 0.05) (tstamp 166209f3-f10b-49e2-9445-365c2ecba904))
(gr_arc (start 49.999999 57.999999) (mid 50.146446 57.646446) (end 50.499999 57.499999) (layer "Edge.Cuts") (width 0.05) (tstamp 2c523a10-fe56-4816-88ee-7723dcd60556))
(gr_line (start 50.5 57.5) (end 72 57.5) (layer "Edge.Cuts") (width 0.05) (tstamp 3032957f-6dab-45e8-89df-6efcb57aa8d0))
(gr_arc (start 72.500001 82.000001) (mid 72.353554 82.353554) (end 72.000001 82.500001) (layer "Edge.Cuts") (width 0.05) (tstamp 3d6f4f79-4d1e-4de9-987b-4c811fb03a47))
(gr_arc (start 50.499999 82.500001) (mid 50.146446 82.353554) (end 49.999999 82.000001) (layer "Edge.Cuts") (width 0.05) (tstamp 7c42647e-1dee-4d0e-8049-fde3f1c6d42c))
(gr_line (start 72.000001 82.500001) (end 50.499999 82.500001) (layer "Edge.Cuts") (width 0.05) (tstamp d5371529-efa9-4112-8967-70da83252573))
(gr_arc (start 72 57.5) (mid 72.353553 57.646447) (end 72.5 58) (layer "Edge.Cuts") (width 0.05) (tstamp dd6235d7-afb0-4c5b-8212-c6ad90023350))
(gr_line (start 72.5 58) (end 72.500001 82.000001) (layer "Edge.Cuts") (width 0.05) (tstamp fe1b8736-82bf-42d7-ad25-6d272963fa17))
(segment (start 64.135 59.69) (end 66.66 59.69) (width 0.2) (layer "F.Cu") (net 1) (tstamp 36518f97-ba5b-40ed-8edb-8a6b0cf111ff))
(segment (start 57.225 65.6) (end 58.225 65.6) (width 0.2) (layer "F.Cu") (net 1) (tstamp 794a4e05-b52a-4276-b887-1ca0b2365834))
(segment (start 66.66 59.69) (end 66.67 59.7) (width 0.2) (layer "F.Cu") (net 1) (tstamp 8a4ad009-ebe7-438c-9d23-16536476d67d))
(segment (start 58.225 65.6) (end 64.135 59.69) (width 0.2) (layer "F.Cu") (net 1) (tstamp f1999963-9ff6-4a1c-ac03-432493ae9aa4))
(segment (start 67.95 60.96) (end 69.21 59.7) (width 0.2) (layer "F.Cu") (net 2) (tstamp 31c42a98-963a-435a-81a8-10e5e2bee1b6))
(segment (start 63.5 60.96) (end 67.95 60.96) (width 0.2) (layer "F.Cu") (net 2) (tstamp 507dd3f0-e01f-485b-a7d1-05663718469a))
(segment (start 57.225 66.1) (end 58.36 66.1) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7408153f-ba82-46bb-8961-76c3b48a4f78))
(segment (start 58.36 66.1) (end 63.5 60.96) (width 0.2) (layer "F.Cu") (net 2) (tstamp a0f1087b-4f6d-4397-8b63-01b9b369611a))
(segment (start 62.865 62.23) (end 66.66 62.23) (width 0.2) (layer "F.Cu") (net 3) (tstamp 59edf362-3b67-4b8f-b4ea-95b62a7ad518))
(segment (start 58.495 66.6) (end 62.865 62.23) (width 0.2) (layer "F.Cu") (net 3) (tstamp b504c9bd-ded7-4585-b6c9-f306f786d3f0))
(segment (start 66.66 62.23) (end 66.67 62.24) (width 0.2) (layer "F.Cu") (net 3) (tstamp d9996b8a-360a-4ae9-aaf1-43be0ce786f1))
(segment (start 57.225 66.6) (end 58.495 66.6) (width 0.2) (layer "F.Cu") (net 3) (tstamp f43a5442-9349-4c8b-a78d-0d812b4c59b0))
(segment (start 62.23 63.5) (end 67.95 63.5) (width 0.2) (layer "F.Cu") (net 4) (tstamp 6dd6fabf-9a43-464f-8b46-80706531845f))
(segment (start 67.95 63.5) (end 69.21 62.24) (width 0.2) (layer "F.Cu") (net 4) (tstamp 912d7f9b-a634-4386-bd88-7b23ec4c76f7))
(segment (start 58.63 67.1) (end 62.23 63.5) (width 0.2) (layer "F.Cu") (net 4) (tstamp b32ec150-a077-4620-816f-7b8cb6e6fd3f))
(segment (start 57.225 67.1) (end 58.63 67.1) (width 0.2) (layer "F.Cu") (net 4) (tstamp fd15d44c-b523-42da-936b-e67b166fc7b1))
(segment (start 57.225 67.6) (end 58.765 67.6) (width 0.2) (layer "F.Cu") (net 5) (tstamp 06eabb0e-3240-4674-baad-0d69bd23581d))
(segment (start 66.66 64.77) (end 66.67 64.78) (width 0.2) (layer "F.Cu") (net 5) (tstamp 15300a69-35a9-47a6-be40-fb199468967e))
(segment (start 58.765 67.6) (end 61.595 64.77) (width 0.2) (layer "F.Cu") (net 5) (tstamp b0c702c5-2d53-4491-bb24-0c04a343c7ab))
(segment (start 61.595 64.77) (end 66.66 64.77) (width 0.2) (layer "F.Cu") (net 5) (tstamp f1f7d6aa-9b95-4829-987c-035055ebd242))
(segment (start 58.9 68.1) (end 60.96 66.04) (width 0.2) (layer "F.Cu") (net 6) (tstamp 216a30ce-4e68-438e-9e58-c23b9b479cc4))
(segment (start 67.95 66.04) (end 69.21 64.78) (width 0.2) (layer "F.Cu") (net 6) (tstamp 95bf3046-24e5-41ec-a9dd-535ec08e0481))
(segment (start 57.225 68.1) (end 58.9 68.1) (width 0.2) (layer "F.Cu") (net 6) (tstamp ac712d52-8053-4694-9cb4-466d4b739f60))
(segment (start 60.96 66.04) (end 67.95 66.04) (width 0.2) (layer "F.Cu") (net 6) (tstamp c3c625d4-8669-4f85-9eb3-6fa012b9f9a5))
(segment (start 66.66 67.31) (end 66.67 67.32) (width 0.2) (layer "F.Cu") (net 7) (tstamp 18129df3-5e9f-4c11-820b-3c9c909bef48))
(segment (start 57.225 68.6) (end 59.035 68.6) (width 0.2) (layer "F.Cu") (net 7) (tstamp 58588d09-3bb9-4485-a940-d65342315d97))
(segment (start 60.325 67.31) (end 66.66 67.31) (width 0.2) (layer "F.Cu") (net 7) (tstamp 964530b0-855a-431a-bb78-dce9f7001186))
(segment (start 59.035 68.6) (end 60.325 67.31) (width 0.2) (layer "F.Cu") (net 7) (tstamp e75816db-16b2-428e-8cf0-f8172a40157d))
(segment (start 59.69 68.58) (end 67.95 68.58) (width 0.2) (layer "F.Cu") (net 8) (tstamp 226dd8ea-41c6-4e14-867a-b48b95f3022a))
(segment (start 67.95 68.58) (end 69.21 67.32) (width 0.2) (layer "F.Cu") (net 8) (tstamp a8693034-a8d6-4a4c-a3b4-00117ab03a74))
(segment (start 57.225 69.1) (end 59.17 69.1) (width 0.2) (layer "F.Cu") (net 8) (tstamp c3d527d5-2b66-4b2f-b2be-1a75c92790c8))
(segment (start 59.17 69.1) (end 59.69 68.58) (width 0.2) (layer "F.Cu") (net 8) (tstamp d10869df-33b7-47ed-ae53-f685ed275dc8))
(segment (start 59.7 69.86) (end 66.67 69.86) (width 0.2) (layer "F.Cu") (net 9) (tstamp af802bf7-e300-4099-882f-fb482ddc3c15))
(segment (start 57.225 69.6) (end 59.44 69.6) (width 0.2) (layer "F.Cu") (net 9) (tstamp d32e2c0c-e978-4c27-b232-81c3cefe57ad))
(segment (start 59.44 69.6) (end 59.7 69.86) (width 0.2) (layer "F.Cu") (net 9) (tstamp d3982874-4900-4309-8c8c-1c05a120579e))
(segment (start 57.225 70.1) (end 59.305 70.1) (width 0.2) (layer "F.Cu") (net 10) (tstamp 0faf308b-8a03-4ab5-8602-ef1472e79cd7))
(segment (start 67.95 71.12) (end 69.21 69.86) (width 0.2) (layer "F.Cu") (net 10) (tstamp 580be6ad-14b6-4a09-952f-06e122b47724))
(segment (start 60.325 71.12) (end 67.95 71.12) (width 0.2) (layer "F.Cu") (net 10) (tstamp 5cc9b96d-c070-467e-a5e9-761f688a94e6))
(segment (start 59.305 70.1) (end 60.325 71.12) (width 0.2) (layer "F.Cu") (net 10) (tstamp dcf1217f-5362-43f4-a19a-3b68c4d14595))
(segment (start 59.17 70.6) (end 60.97 72.4) (width 0.2) (layer "F.Cu") (net 11) (tstamp 7d2a8682-3739-48bb-8d83-663ad60e2ada))
(segment (start 60.97 72.4) (end 66.67 72.4) (width 0.2) (layer "F.Cu") (net 11) (tstamp 82e47ddb-64e5-45ac-9641-7a13bf29589e))
(segment (start 57.225 70.6) (end 59.17 70.6) (width 0.2) (layer "F.Cu") (net 11) (tstamp c9523a66-0fa4-4397-bb1d-8b89cea0a734))
(segment (start 57.225 71.1) (end 59.035 71.1) (width 0.2) (layer "F.Cu") (net 12) (tstamp 5629833c-794d-4167-a8c7-7a8503e235bd))
(segment (start 67.95 73.66) (end 69.21 72.4) (width 0.2) (layer "F.Cu") (net 12) (tstamp 598abe44-6b0f-44d3-a22b-06ef3428dc0e))
(segment (start 61.595 73.66) (end 67.95 73.66) (width 0.2) (layer "F.Cu") (net 12) (tstamp bb140810-0a30-4b42-927a-691aacba14cf))
(segment (start 59.035 71.1) (end 61.595 73.66) (width 0.2) (layer "F.Cu") (net 12) (tstamp f83e4c48-9c2b-4226-bddd-7fa2d33d87e6))
(segment (start 58.9 71.6) (end 62.24 74.94) (width 0.2) (layer "F.Cu") (net 13) (tstamp 240da9f0-88d1-4e60-be55-18b86ef23f5b))
(segment (start 62.24 74.94) (end 66.67 74.94) (width 0.2) (layer "F.Cu") (net 13) (tstamp b3d1c6db-d5a6-4d7c-953a-a754351f04cf))
(segment (start 57.225 71.6) (end 58.9 71.6) (width 0.2) (layer "F.Cu") (net 13) (tstamp f2591280-8024-46c6-a90c-59869ecb1b13))
(segment (start 67.95 76.2) (end 69.21 74.94) (width 0.2) (layer "F.Cu") (net 14) (tstamp 6a98ac44-789d-4fdc-a2b3-0cdfda1780aa))
(segment (start 58.765 72.1) (end 62.865 76.2) (width 0.2) (layer "F.Cu") (net 14) (tstamp b32d50a4-d524-4f49-8ee7-61432bbeb0c8))
(segment (start 62.865 76.2) (end 67.95 76.2) (width 0.2) (layer "F.Cu") (net 14) (tstamp e8c89ab4-9727-4434-a975-410d5d138245))
(segment (start 57.225 72.1) (end 58.765 72.1) (width 0.2) (layer "F.Cu") (net 14) (tstamp e949ecc8-aecf-4078-865f-e10ec793e4e0))
(segment (start 58.63 72.6) (end 63.51 77.48) (width 0.2) (layer "F.Cu") (net 15) (tstamp 67f3b338-afbb-41f4-af92-1ff992847631))
(segment (start 57.225 72.6) (end 58.63 72.6) (width 0.2) (layer "F.Cu") (net 15) (tstamp a8fb45be-c959-45f7-9dec-13c8ff134cec))
(segment (start 63.51 77.48) (end 66.67 77.48) (width 0.2) (layer "F.Cu") (net 15) (tstamp db38e01e-3c95-455d-b6de-63e1a93b4169))
(segment (start 64.135 78.74) (end 67.95 78.74) (width 0.2) (layer "F.Cu") (net 16) (tstamp 52959bf7-2b1d-449e-9b66-230d7c730110))
(segment (start 57.225 73.1) (end 58.495 73.1) (width 0.2) (layer "F.Cu") (net 16) (tstamp a6ed2c85-029a-4b60-bd5d-0eada39c7299))
(segment (start 58.495 73.1) (end 64.135 78.74) (width 0.2) (layer "F.Cu") (net 16) (tstamp cb9c3d09-cad0-4efb-837e-132b501122bd))
(segment (start 67.95 78.74) (end 69.21 77.48) (width 0.2) (layer "F.Cu") (net 16) (tstamp d4fb490f-43e3-4310-ab1c-8ae90a2665dc))
(segment (start 58.36 73.6) (end 64.77 80.01) (width 0.2) (layer "F.Cu") (net 17) (tstamp 0537495e-4e31-4569-8175-907c25190de7))
(segment (start 64.77 80.01) (end 66.66 80.01) (width 0.2) (layer "F.Cu") (net 17) (tstamp 464dd4cb-4b80-4525-b36c-f5d0450bd424))
(segment (start 66.66 80.01) (end 66.67 80.02) (width 0.2) (layer "F.Cu") (net 17) (tstamp 9a94d7bd-98f3-474d-9bf2-f492e6d7d52b))
(segment (start 57.225 73.6) (end 58.36 73.6) (width 0.2) (layer "F.Cu") (net 17) (tstamp fdce8d07-8cd9-4687-9fc2-183058ff9aeb))
(segment (start 57.225 74.1) (end 58.225 74.1) (width 0.2) (layer "F.Cu") (net 18) (tstamp 18bb25aa-0c26-4c10-b88c-2f523fedb6b1))
(segment (start 65.405 81.28) (end 67.95 81.28) (width 0.2) (layer "F.Cu") (net 18) (tstamp 545b02ba-754e-42ad-98fb-14a8a29b5e24))
(segment (start 67.95 81.28) (end 69.21 80.02) (width 0.2) (layer "F.Cu") (net 18) (tstamp 626bb11b-e04b-4b67-a0ab-d307f3d85b29))
(segment (start 58.225 74.1) (end 65.405 81.28) (width 0.2) (layer "F.Cu") (net 18) (tstamp ee78ab28-e066-4b7d-aaaf-6e9a57e3029e))
)

View File

@ -0,0 +1,418 @@
{
"board": {
"design_settings": {
"defaults": {
"board_outline_line_width": 0.049999999999999996,
"copper_line_width": 0.19999999999999998,
"copper_text_italic": false,
"copper_text_size_h": 1.5,
"copper_text_size_v": 1.5,
"copper_text_thickness": 0.3,
"copper_text_upright": false,
"courtyard_line_width": 0.049999999999999996,
"dimension_precision": 4,
"dimension_units": 3,
"dimensions": {
"arrow_length": 1270000,
"extension_offset": 500000,
"keep_text_aligned": true,
"suppress_zeroes": false,
"text_position": 0,
"units_format": 1
},
"fab_line_width": 0.09999999999999999,
"fab_text_italic": false,
"fab_text_size_h": 1.0,
"fab_text_size_v": 1.0,
"fab_text_thickness": 0.15,
"fab_text_upright": false,
"other_line_width": 0.09999999999999999,
"other_text_italic": false,
"other_text_size_h": 1.0,
"other_text_size_v": 1.0,
"other_text_thickness": 0.15,
"other_text_upright": false,
"pads": {
"drill": 0.762,
"height": 1.524,
"width": 1.524
},
"silk_line_width": 0.12,
"silk_text_italic": false,
"silk_text_size_h": 1.0,
"silk_text_size_v": 1.0,
"silk_text_thickness": 0.15,
"silk_text_upright": false,
"zones": {
"45_degree_only": false,
"min_clearance": 0.508
}
},
"diff_pair_dimensions": [],
"drc_exclusions": [],
"meta": {
"version": 2
},
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"copper_edge_clearance": "error",
"courtyards_overlap": "error",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "warning",
"extra_footprint": "warning",
"footprint_type_mismatch": "error",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",
"missing_footprint": "warning",
"net_conflict": "warning",
"npth_inside_courtyard": "ignore",
"padstack": "error",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_over_copper": "warning",
"silk_overlap": "warning",
"skew_out_of_range": "error",
"through_hole_pad_without_hole": "error",
"too_many_vias": "error",
"track_dangling": "warning",
"track_width": "error",
"tracks_crossing": "error",
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zone_has_empty_net": "error",
"zones_intersect": "error"
},
"rules": {
"allow_blind_buried_vias": false,
"allow_microvias": false,
"max_error": 0.005,
"min_clearance": 0.0,
"min_copper_edge_clearance": 0.01,
"min_hole_clearance": 0.25,
"min_hole_to_hole": 0.25,
"min_microvia_diameter": 0.19999999999999998,
"min_microvia_drill": 0.09999999999999999,
"min_silk_clearance": 0.0,
"min_through_hole_diameter": 0.3,
"min_track_width": 0.19999999999999998,
"min_via_annular_width": 0.049999999999999996,
"min_via_diameter": 0.39999999999999997,
"use_height_for_length_calcs": true
},
"track_widths": [],
"via_dimensions": [],
"zones_allow_external_fillets": false,
"zones_use_no_outline": true
},
"layer_presets": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_label_syntax": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "xs-breakout.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12.0,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.25,
"via_diameter": 0.8,
"via_drill": 0.4,
"wire_width": 6.0
}
],
"meta": {
"version": 2
},
"net_colors": null
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"specctra_dsn": "",
"step": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"drawing": {
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.375,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.15
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "",
"ngspice": {
"fix_include_paths": true,
"fix_passive_vals": false,
"meta": {
"version": 0
},
"model_mode": 0,
"workbook_filename": ""
},
"page_layout_descr_file": "",
"plot_directory": "",
"spice_adjust_passive_values": false,
"spice_external_command": "spice \"%I\"",
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"2f217ff7-e92f-4b02-a58e-e8acccdc3885",
""
]
],
"text_variables": {}
}

View File

@ -0,0 +1,713 @@
(kicad_sch (version 20211123) (generator eeschema)
(uuid 2f217ff7-e92f-4b02-a58e-e8acccdc3885)
(paper "A4")
(title_block
(date "2022-12-26")
(rev "1.0")
)
(lib_symbols
(symbol "Connector_Generic:Conn_01x18" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "J" (id 0) (at 0 22.86 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Conn_01x18" (id 1) (at 0 -25.4 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "connector" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Generic connector, single row, 01x18, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Conn_01x18_1_1"
(rectangle (start -1.27 -22.733) (end 0 -22.987)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -20.193) (end 0 -20.447)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -17.653) (end 0 -17.907)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -15.113) (end 0 -15.367)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -12.573) (end 0 -12.827)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -10.033) (end 0 -10.287)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -7.493) (end 0 -7.747)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -4.953) (end 0 -5.207)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -2.413) (end 0 -2.667)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 0.127) (end 0 -0.127)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 2.667) (end 0 2.413)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 5.207) (end 0 4.953)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 7.747) (end 0 7.493)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 10.287) (end 0 10.033)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 12.827) (end 0 12.573)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 15.367) (end 0 15.113)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 17.907) (end 0 17.653)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 20.447) (end 0 20.193)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 21.59) (end 1.27 -24.13)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(pin passive line (at -5.08 20.32 0) (length 3.81)
(name "Pin_1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -2.54 0) (length 3.81)
(name "Pin_10" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -5.08 0) (length 3.81)
(name "Pin_11" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -7.62 0) (length 3.81)
(name "Pin_12" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -10.16 0) (length 3.81)
(name "Pin_13" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -12.7 0) (length 3.81)
(name "Pin_14" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -15.24 0) (length 3.81)
(name "Pin_15" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -17.78 0) (length 3.81)
(name "Pin_16" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -20.32 0) (length 3.81)
(name "Pin_17" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -22.86 0) (length 3.81)
(name "Pin_18" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 17.78 0) (length 3.81)
(name "Pin_2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 15.24 0) (length 3.81)
(name "Pin_3" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 12.7 0) (length 3.81)
(name "Pin_4" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 10.16 0) (length 3.81)
(name "Pin_5" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 7.62 0) (length 3.81)
(name "Pin_6" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 5.08 0) (length 3.81)
(name "Pin_7" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 2.54 0) (length 3.81)
(name "Pin_8" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 0 0) (length 3.81)
(name "Pin_9" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Connector_Generic:Conn_02x09_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "J" (id 0) (at 1.27 12.7 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Conn_02x09_Odd_Even" (id 1) (at 1.27 -12.7 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "connector" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Generic connector, double row, 02x09, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Connector*:*_2x??_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Conn_02x09_Odd_Even_1_1"
(rectangle (start -1.27 -10.033) (end 0 -10.287)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -7.493) (end 0 -7.747)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -4.953) (end 0 -5.207)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -2.413) (end 0 -2.667)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 0.127) (end 0 -0.127)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 2.667) (end 0 2.413)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 5.207) (end 0 4.953)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 7.747) (end 0 7.493)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 10.287) (end 0 10.033)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 11.43) (end 3.81 -11.43)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(rectangle (start 3.81 -10.033) (end 2.54 -10.287)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 -7.493) (end 2.54 -7.747)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 -4.953) (end 2.54 -5.207)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 -2.413) (end 2.54 -2.667)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 0.127) (end 2.54 -0.127)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 2.667) (end 2.54 2.413)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 5.207) (end 2.54 4.953)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 7.747) (end 2.54 7.493)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 10.287) (end 2.54 10.033)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(pin passive line (at -5.08 10.16 0) (length 3.81)
(name "Pin_1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 0 180) (length 3.81)
(name "Pin_10" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -2.54 0) (length 3.81)
(name "Pin_11" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -2.54 180) (length 3.81)
(name "Pin_12" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -5.08 0) (length 3.81)
(name "Pin_13" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -5.08 180) (length 3.81)
(name "Pin_14" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -7.62 0) (length 3.81)
(name "Pin_15" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -7.62 180) (length 3.81)
(name "Pin_16" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -10.16 0) (length 3.81)
(name "Pin_17" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -10.16 180) (length 3.81)
(name "Pin_18" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 10.16 180) (length 3.81)
(name "Pin_2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 7.62 0) (length 3.81)
(name "Pin_3" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 7.62 180) (length 3.81)
(name "Pin_4" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 5.08 0) (length 3.81)
(name "Pin_5" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 5.08 180) (length 3.81)
(name "Pin_6" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 2.54 0) (length 3.81)
(name "Pin_7" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 2.54 180) (length 3.81)
(name "Pin_8" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 0 0) (length 3.81)
(name "Pin_9" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
)
(wire (pts (xy 165.1 101.6) (xy 185.42 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 03b5f724-5177-43ce-a4e1-545124eaabd8)
)
(wire (pts (xy 170.18 124.46) (xy 109.22 124.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 04c1064b-f374-4af7-b2e5-722e06822e07)
)
(wire (pts (xy 114.3 129.54) (xy 114.3 106.68))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 056953b4-d759-462d-9822-02d536cb2178)
)
(wire (pts (xy 124.46 139.7) (xy 124.46 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 089a9037-c719-4bcc-a202-0b48e7e01fb3)
)
(wire (pts (xy 116.84 132.08) (xy 116.84 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 09d6b57b-ceaa-46ad-9b9b-7275613e3fe0)
)
(wire (pts (xy 106.68 96.52) (xy 119.38 96.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0be96d3b-b3a7-456f-8251-2408c8febad4)
)
(wire (pts (xy 106.68 121.92) (xy 167.64 121.92))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0c863eb9-56b9-498e-8a76-d52e4180a72d)
)
(wire (pts (xy 106.68 91.44) (xy 121.92 91.44))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 109ef81d-141e-4948-ace0-b6c797ca9fbf)
)
(wire (pts (xy 170.18 116.84) (xy 170.18 124.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 14ec87c2-9b87-47ac-aaed-10d0fb72d9b5)
)
(wire (pts (xy 142.24 104.14) (xy 142.24 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 184d49be-b6d2-4869-a0e0-611d0580e4fe)
)
(wire (pts (xy 109.22 124.46) (xy 109.22 116.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 19517a0a-ea44-4a49-9301-f1e87912785c)
)
(wire (pts (xy 139.7 106.68) (xy 139.7 93.98))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1a667e89-aa53-469e-8162-fb09437bc6f3)
)
(wire (pts (xy 106.68 114.3) (xy 129.54 114.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1abad4ac-4964-42e9-808f-196a3d7e9539)
)
(wire (pts (xy 152.4 104.14) (xy 142.24 104.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2025a324-f958-4f30-95b9-a4f2768e361f)
)
(wire (pts (xy 165.1 99.06) (xy 187.96 99.06))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2070a041-ced8-4993-bca6-cd8be3559c31)
)
(wire (pts (xy 165.1 106.68) (xy 180.34 106.68))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2d7c4fcf-909c-4bd7-a309-51bdc7f474f2)
)
(wire (pts (xy 185.42 101.6) (xy 185.42 139.7))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2f3be883-67bc-428c-bc7d-c0212f1548a6)
)
(wire (pts (xy 127 142.24) (xy 127 81.28))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 39fb7e90-844c-45a9-bf0e-aef6adf980e4)
)
(wire (pts (xy 180.34 106.68) (xy 180.34 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 40467275-0a1c-4f7c-8ea9-8cf8bc3f0be9)
)
(wire (pts (xy 165.1 109.22) (xy 177.8 109.22))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 457b7145-617b-4ad5-b1b5-9514fbd1dd97)
)
(wire (pts (xy 152.4 116.84) (xy 129.54 116.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4d5be0d3-8940-4b39-b71e-137c61bacc2f)
)
(wire (pts (xy 165.1 116.84) (xy 170.18 116.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4fcb8fe1-b613-4c92-a6f0-c3348c98cfc4)
)
(wire (pts (xy 167.64 119.38) (xy 167.64 121.92))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 562001da-b659-4a14-a790-35ab328fd8a4)
)
(wire (pts (xy 175.26 111.76) (xy 175.26 129.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5994b15d-75a4-4f72-bd9b-ac18ea81e6d0)
)
(wire (pts (xy 144.78 101.6) (xy 144.78 83.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5a2b54ab-5e8a-4864-90f7-034876d9d5f7)
)
(wire (pts (xy 165.1 119.38) (xy 167.64 119.38))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5c63df99-5ad9-470a-9a11-6a76b6c811e2)
)
(wire (pts (xy 106.68 81.28) (xy 127 81.28))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5e559711-2b63-4038-8d6a-1e41ad900bbe)
)
(wire (pts (xy 106.68 104.14) (xy 134.62 104.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5eefc51e-b8b6-4a60-a74a-0b0e683ad496)
)
(wire (pts (xy 165.1 114.3) (xy 172.72 114.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6c4ce6de-7c5b-4ba3-a3b3-2dabd45500ed)
)
(wire (pts (xy 129.54 116.84) (xy 129.54 114.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6e16ecea-7891-4dd5-94ea-4e98c1f96492)
)
(wire (pts (xy 182.88 104.14) (xy 182.88 137.16))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6e99ddb6-f9be-4537-88f7-c65b1368c269)
)
(wire (pts (xy 180.34 134.62) (xy 119.38 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6fd75d93-3392-4299-8505-c7bb76fc1f61)
)
(wire (pts (xy 152.4 109.22) (xy 137.16 109.22))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7530cb63-a729-4206-9268-ae93b8400cec)
)
(wire (pts (xy 106.68 86.36) (xy 124.46 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 77d11413-7c49-4397-828b-b62b9afefdfc)
)
(wire (pts (xy 106.68 93.98) (xy 139.7 93.98))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 81326557-de16-4577-8cfa-1e13546c0c1c)
)
(wire (pts (xy 152.4 111.76) (xy 134.62 111.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 826d37df-8697-495b-b2d1-adf550a1df63)
)
(wire (pts (xy 187.96 99.06) (xy 187.96 142.24))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 87f70261-bc82-4f80-ab51-2c5c8bc8bd45)
)
(wire (pts (xy 165.1 111.76) (xy 175.26 111.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 910269ba-07b6-494e-9ccb-17854daad7c0)
)
(wire (pts (xy 147.32 99.06) (xy 147.32 78.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 94c302ea-fc6c-47c2-b7af-5bf2941affaf)
)
(wire (pts (xy 132.08 114.3) (xy 132.08 109.22))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9589c4c0-f75e-4268-85d8-a3b77e31c54a)
)
(wire (pts (xy 134.62 104.14) (xy 134.62 111.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 95f0ffca-2c13-49bd-a804-f04dde1e97bb)
)
(wire (pts (xy 106.68 119.38) (xy 152.4 119.38))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 971d71ef-c071-4ad3-95f2-374dd1478f34)
)
(wire (pts (xy 114.3 129.54) (xy 175.26 129.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9e91ea18-f753-4e27-86ee-0c3a9fd2936a)
)
(wire (pts (xy 152.4 99.06) (xy 147.32 99.06))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a638d85a-425a-4409-a57d-8bebbe21fd07)
)
(wire (pts (xy 106.68 88.9) (xy 142.24 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ad08517f-75dc-4bb7-98ab-a7cf06c3f5b4)
)
(wire (pts (xy 121.92 137.16) (xy 182.88 137.16))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b0caf6e4-86b5-4b35-93db-dd34e8004eec)
)
(wire (pts (xy 106.68 83.82) (xy 144.78 83.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b4f1adab-00e5-445d-bd42-a70dda756a93)
)
(wire (pts (xy 127 142.24) (xy 187.96 142.24))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b97b924a-88b5-4f44-ac71-95d83214afc6)
)
(wire (pts (xy 111.76 127) (xy 111.76 111.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b9d2d54a-cd8d-4a81-8d7d-e5f0977477bc)
)
(wire (pts (xy 124.46 139.7) (xy 185.42 139.7))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ba5d7cc7-b6b5-44e1-8b5e-c458d079aeec)
)
(wire (pts (xy 121.92 137.16) (xy 121.92 91.44))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bade79b6-559c-4be4-93e8-f476a4de84e7)
)
(wire (pts (xy 106.68 111.76) (xy 111.76 111.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bc23deb5-5ca0-479a-9357-6822e87b423b)
)
(wire (pts (xy 172.72 127) (xy 111.76 127))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c10a1500-fab2-4d7b-a40f-1f5cefdd9d74)
)
(wire (pts (xy 172.72 114.3) (xy 172.72 127))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ca0eec8e-086a-4bc9-96a6-6da2fd29545f)
)
(wire (pts (xy 106.68 106.68) (xy 114.3 106.68))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid cdc9dddb-2432-4772-bb0f-04d37bfcb1ec)
)
(wire (pts (xy 137.16 109.22) (xy 137.16 99.06))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ce8074ff-5cbc-44dd-a0b1-57cfacd93515)
)
(wire (pts (xy 165.1 104.14) (xy 182.88 104.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid cfa5939e-6c9f-4ae3-af0b-790ca641eafa)
)
(wire (pts (xy 177.8 132.08) (xy 116.84 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d72049f2-6dae-46c7-8753-464deaffc5ac)
)
(wire (pts (xy 106.68 116.84) (xy 109.22 116.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d7e4ef0b-7e55-426e-989f-55eae23f0926)
)
(wire (pts (xy 152.4 101.6) (xy 144.78 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d91a426f-1a13-423d-b7fd-45a4d61c12fb)
)
(wire (pts (xy 177.8 109.22) (xy 177.8 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid dc917860-b690-443a-bc49-b4aec9c07fe9)
)
(wire (pts (xy 106.68 99.06) (xy 137.16 99.06))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid dcad8d25-a91a-4241-b012-bfd415ba5cf4)
)
(wire (pts (xy 119.38 134.62) (xy 119.38 96.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e18b5d3b-2d5c-46b0-b0bd-258fe18a9f9d)
)
(wire (pts (xy 106.68 101.6) (xy 116.84 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ea3fe3a1-9e1e-4542-8dc7-aaf4fccdd270)
)
(wire (pts (xy 106.68 78.74) (xy 147.32 78.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ee33f1d9-d5cf-4b24-95d6-fa868ab33a63)
)
(wire (pts (xy 152.4 106.68) (xy 139.7 106.68))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f47eaeb0-a246-4c07-9cc6-dd4f0cf4ebf5)
)
(wire (pts (xy 106.68 109.22) (xy 132.08 109.22))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f5e27995-947e-4dd6-b668-68778bf197cc)
)
(wire (pts (xy 152.4 114.3) (xy 132.08 114.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f9c74cf2-343e-4680-8ae8-13e303aa47d6)
)
(symbol (lib_id "Connector_Generic:Conn_02x09_Odd_Even") (at 160.02 109.22 180) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 63b17cb0-bb35-429a-89c4-404a2f061470)
(property "Reference" "J2" (id 0) (at 158.75 96.6272 0))
(property "Value" "Breakout" (id 1) (at 158.75 96.6271 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_2x09_P2.54mm_Vertical" (id 2) (at 160.02 109.22 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 160.02 109.22 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid eaabe988-625c-4837-8eff-101d368adb36))
(pin "10" (uuid 530de1ce-19b5-43a0-9002-5bbe5b8ded4b))
(pin "11" (uuid d770f736-0ef3-42b6-9405-a822cf1cb7bc))
(pin "12" (uuid 345988f0-33e1-48b6-bb19-1157521e79a5))
(pin "13" (uuid 107ff54e-fb16-453a-83d6-dac0ca53bdb9))
(pin "14" (uuid 50a11cd3-6ae5-4a56-84be-25e4f1ac1d8c))
(pin "15" (uuid 8b62ec01-9741-45d9-ac8c-d32e74ea53bb))
(pin "16" (uuid 3d83aa5e-2e3b-4b9c-8fef-c58b9d32d081))
(pin "17" (uuid afadbb58-0442-40e3-ad8a-5326e3c75c45))
(pin "18" (uuid c73634ba-f588-4fbf-9260-6d6fcbcfd6d1))
(pin "2" (uuid a23cb9fd-78d3-41c2-b748-593b71d0fdfe))
(pin "3" (uuid 6375c3e0-a56d-46e8-a2f7-d6511e7821f5))
(pin "4" (uuid f1119481-94ea-4ea2-a254-e552d2f358c5))
(pin "5" (uuid febd74c5-2dfd-4b32-93c1-87b23c11cce8))
(pin "6" (uuid 6ad28e53-1643-41dd-a8ed-c64c094b60ae))
(pin "7" (uuid bc669ba8-82cf-4423-95f7-591e1988911c))
(pin "8" (uuid 2cc07162-11c9-49fe-82f2-b0aa2bdd110d))
(pin "9" (uuid 8fa00bab-2a60-4b14-84e8-79a2d1d1ae0d))
)
(symbol (lib_id "Connector_Generic:Conn_01x18") (at 101.6 101.6 180) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 89c9640c-0aba-416f-afe1-240cf3d6f905)
(property "Reference" "J1" (id 0) (at 101.6 76.3072 0))
(property "Value" "FlatFlex" (id 1) (at 101.6 76.3071 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Footprint" "s47-imported:GCT_FFC2B35-18-G_REVA2" (id 2) (at 101.6 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 101.6 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 86055b3c-71da-4d96-af2c-32f3cc18379b))
(pin "10" (uuid 07b27d68-e4cc-413c-8e29-ae120a67e5b2))
(pin "11" (uuid 8561053a-b8c5-4a85-b89a-f4d509d5b27b))
(pin "12" (uuid 62408428-256e-4343-bccc-9ef0520bee62))
(pin "13" (uuid 5e549b1c-3c26-4945-bc19-f960b3e42c86))
(pin "14" (uuid 1b818efc-2a9e-4a8a-ba46-e0eafe262505))
(pin "15" (uuid d4e3c7b4-215c-476f-b7b9-ae5d02cf5ab4))
(pin "16" (uuid a9fa70b0-bff3-4021-93bc-1e180a3665f8))
(pin "17" (uuid 3258a204-1860-42e2-829c-e858af8b9b9e))
(pin "18" (uuid 0bb4ecb4-f3bd-48b7-b64a-4d3e4bc03cc6))
(pin "2" (uuid 50fc45a0-fb6f-4a29-b4c6-38c6f0ae297e))
(pin "3" (uuid 2f323c31-f8b7-4df8-9460-e2090d937989))
(pin "4" (uuid 50b7f88e-d842-43c3-bea5-1bf383360f0f))
(pin "5" (uuid 830ed992-2aa0-4dee-880c-7555a34aec07))
(pin "6" (uuid 54ccf742-dd4d-4edd-a2db-60662fe377f1))
(pin "7" (uuid 06279c0f-e7f4-49f3-a9d1-a429050d489e))
(pin "8" (uuid ef9f1f90-1152-4b48-9970-ccf29dc7afd9))
(pin "9" (uuid dab9b931-c532-4119-92e1-a912ff8e4e5d))
)
(sheet_instances
(path "/" (page "1"))
)
(symbol_instances
(path "/89c9640c-0aba-416f-afe1-240cf3d6f905"
(reference "J1") (unit 1) (value "FlatFlex") (footprint "s47-imported:GCT_FFC2B35-18-G_REVA2")
)
(path "/63b17cb0-bb35-429a-89c4-404a2f061470"
(reference "J2") (unit 1) (value "Breakout") (footprint "Connector_PinHeader_2.54mm:PinHeader_2x09_P2.54mm_Vertical")
)
)
)

View File

@ -0,0 +1,346 @@
(kicad_pcb (version 20211014) (generator pcbnew)
(general
(thickness 1.09)
)
(paper "A4")
(title_block
(date "2022-12-26")
(rev "1.0")
)
(layers
(0 "F.Cu" signal)
(31 "B.Cu" signal)
(32 "B.Adhes" user "B.Adhesive")
(33 "F.Adhes" user "F.Adhesive")
(34 "B.Paste" user)
(35 "F.Paste" user)
(36 "B.SilkS" user "B.Silkscreen")
(37 "F.SilkS" user "F.Silkscreen")
(38 "B.Mask" user)
(39 "F.Mask" user)
(40 "Dwgs.User" user "User.Drawings")
(41 "Cmts.User" user "User.Comments")
(42 "Eco1.User" user "User.Eco1")
(43 "Eco2.User" user "User.Eco2")
(44 "Edge.Cuts" user)
(45 "Margin" user)
(46 "B.CrtYd" user "B.Courtyard")
(47 "F.CrtYd" user "F.Courtyard")
(48 "B.Fab" user)
(49 "F.Fab" user)
(50 "User.1" user)
(51 "User.2" user)
(52 "User.3" user)
(53 "User.4" user)
(54 "User.5" user)
(55 "User.6" user)
(56 "User.7" user)
(57 "User.8" user)
(58 "User.9" user)
)
(setup
(stackup
(layer "F.SilkS" (type "Top Silk Screen"))
(layer "F.Paste" (type "Top Solder Paste"))
(layer "F.Mask" (type "Top Solder Mask") (thickness 0.01))
(layer "F.Cu" (type "copper") (thickness 0.035))
(layer "dielectric 1" (type "core") (thickness 1) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02))
(layer "B.Cu" (type "copper") (thickness 0.035))
(layer "B.Mask" (type "Bottom Solder Mask") (thickness 0.01))
(layer "B.Paste" (type "Bottom Solder Paste"))
(layer "B.SilkS" (type "Bottom Silk Screen"))
(copper_finish "None")
(dielectric_constraints no)
)
(pad_to_mask_clearance 0)
(pcbplotparams
(layerselection 0x00010fc_ffffffff)
(disableapertmacros false)
(usegerberextensions false)
(usegerberattributes true)
(usegerberadvancedattributes true)
(creategerberjobfile true)
(svguseinch false)
(svgprecision 6)
(excludeedgelayer true)
(plotframeref false)
(viasonmask false)
(mode 1)
(useauxorigin false)
(hpglpennumber 1)
(hpglpenspeed 20)
(hpglpendiameter 15.000000)
(dxfpolygonmode true)
(dxfimperialunits true)
(dxfusepcbnewfont true)
(psnegative false)
(psa4output false)
(plotreference true)
(plotvalue true)
(plotinvisibletext false)
(sketchpadsonfab false)
(subtractmaskfromsilk false)
(outputformat 1)
(mirror false)
(drillshape 0)
(scaleselection 1)
(outputdirectory "out/")
)
)
(net 0 "")
(net 1 "Net-(J1-Pad1)")
(net 2 "Net-(J1-Pad2)")
(net 3 "Net-(J1-Pad3)")
(net 4 "Net-(J1-Pad4)")
(net 5 "Net-(J1-Pad5)")
(net 6 "Net-(J1-Pad6)")
(net 7 "Net-(J1-Pad7)")
(net 8 "Net-(J1-Pad8)")
(net 9 "Net-(J1-Pad9)")
(net 10 "Net-(J1-Pad10)")
(net 11 "Net-(J1-Pad11)")
(net 12 "Net-(J1-Pad12)")
(net 13 "Net-(J1-Pad13)")
(net 14 "Net-(J1-Pad14)")
(net 15 "Net-(J1-Pad15)")
(net 16 "Net-(J1-Pad16)")
(net 17 "Net-(J1-Pad17)")
(net 18 "Net-(J1-Pad18)")
(footprint "Connector_PinHeader_2.54mm:PinHeader_2x09_P2.54mm_Vertical" (layer "F.Cu")
(tedit 59FED5CC) (tstamp 08d43801-38cd-4696-bbe2-70dac37693cc)
(at 66.67 59.7)
(descr "Through hole straight pin header, 2x09, 2.54mm pitch, double rows")
(tags "Through hole pin header THT 2x09 2.54mm double row")
(property "Sheetfile" "")
(property "Sheetname" "")
(path "/63b17cb0-bb35-429a-89c4-404a2f061470")
(attr through_hole)
(fp_text reference "J2" (at 1.27 -2.33) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 0e4e49b8-7b79-4a18-a66a-c92ea50fccf0)
)
(fp_text value "Breakout" (at 4.93 18.55 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp b799049a-2096-4a91-855c-fc1f741fefff)
)
(fp_text user "${REFERENCE}" (at 1.27 10.16 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp bc739ae5-1aea-45d3-a51b-f51fbee679e7)
)
(fp_line (start -1.33 1.27) (end -1.33 21.65) (layer "F.SilkS") (width 0.12) (tstamp 3d65cc0e-5dc7-4adc-8c52-c17ac47d720b))
(fp_line (start 1.27 -1.33) (end 3.87 -1.33) (layer "F.SilkS") (width 0.12) (tstamp 4850a5d6-39b4-4242-bc1a-8cdd05c81880))
(fp_line (start 3.87 -1.33) (end 3.87 21.65) (layer "F.SilkS") (width 0.12) (tstamp 51988664-f54f-417e-b44a-2b85aa5d2803))
(fp_line (start -1.33 -1.33) (end 0 -1.33) (layer "F.SilkS") (width 0.12) (tstamp 62eaab0d-f802-4d81-aeaa-5941b582935f))
(fp_line (start -1.33 1.27) (end 1.27 1.27) (layer "F.SilkS") (width 0.12) (tstamp 7963e9b8-a97d-492c-93b1-0a07b30efe9c))
(fp_line (start -1.33 21.65) (end 3.87 21.65) (layer "F.SilkS") (width 0.12) (tstamp 8207dcc0-1401-4b9f-b886-53d912a93074))
(fp_line (start -1.33 0) (end -1.33 -1.33) (layer "F.SilkS") (width 0.12) (tstamp 874b1e7d-cf90-41eb-8337-aa90f4550b5b))
(fp_line (start 1.27 1.27) (end 1.27 -1.33) (layer "F.SilkS") (width 0.12) (tstamp 928082db-41fc-4409-a880-954638b6cefb))
(fp_line (start 4.35 22.1) (end 4.35 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 0b0f94ce-d591-4528-86bd-bd14df8fa515))
(fp_line (start -1.8 -1.8) (end -1.8 22.1) (layer "F.CrtYd") (width 0.05) (tstamp 1a23bae9-acd8-4128-b8eb-2cbecb6bb2b1))
(fp_line (start 4.35 -1.8) (end -1.8 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 5d18ca24-0ad5-4857-a76b-1d382ae53027))
(fp_line (start -1.8 22.1) (end 4.35 22.1) (layer "F.CrtYd") (width 0.05) (tstamp fb57e633-b243-4aeb-8e66-e7cc84f6ba42))
(fp_line (start 3.81 -1.27) (end 3.81 21.59) (layer "F.Fab") (width 0.1) (tstamp 3c2b236d-2eff-4878-ba11-0ced985da9e7))
(fp_line (start 0 -1.27) (end 3.81 -1.27) (layer "F.Fab") (width 0.1) (tstamp 4edeff7b-eda7-4d7c-a7fc-1e3f70369677))
(fp_line (start 3.81 21.59) (end -1.27 21.59) (layer "F.Fab") (width 0.1) (tstamp 7b906418-e49f-42e8-8b27-408d073eb48f))
(fp_line (start -1.27 0) (end 0 -1.27) (layer "F.Fab") (width 0.1) (tstamp 99689225-3211-4539-9286-32e698b97e48))
(fp_line (start -1.27 21.59) (end -1.27 0) (layer "F.Fab") (width 0.1) (tstamp d2a48584-ece7-4d56-97e5-7832ba701ab7))
(pad "1" thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 1 "Net-(J1-Pad1)") (pinfunction "Pin_1") (pintype "passive") (tstamp e262c0af-6784-4e27-9fe9-dcf59bcaf645))
(pad "2" thru_hole oval (at 2.54 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 2 "Net-(J1-Pad2)") (pinfunction "Pin_2") (pintype "passive") (tstamp 2f709d51-b4a6-48e6-b0f2-9047b1554c30))
(pad "3" thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 3 "Net-(J1-Pad3)") (pinfunction "Pin_3") (pintype "passive") (tstamp 4fb4727c-0b3c-4cdd-8b32-8a86708fb8c6))
(pad "4" thru_hole oval (at 2.54 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 4 "Net-(J1-Pad4)") (pinfunction "Pin_4") (pintype "passive") (tstamp f50db390-a061-4cf0-ad0d-3a0b77e5a073))
(pad "5" thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 5 "Net-(J1-Pad5)") (pinfunction "Pin_5") (pintype "passive") (tstamp 9c2d0ae2-8800-4451-beaf-545529a96b6c))
(pad "6" thru_hole oval (at 2.54 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 6 "Net-(J1-Pad6)") (pinfunction "Pin_6") (pintype "passive") (tstamp e54f99a1-3c37-42a8-a318-d9c93bc9b3c8))
(pad "7" thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 7 "Net-(J1-Pad7)") (pinfunction "Pin_7") (pintype "passive") (tstamp 70ef9d17-9375-4ab0-8095-0bb19eaeaaa7))
(pad "8" thru_hole oval (at 2.54 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 8 "Net-(J1-Pad8)") (pinfunction "Pin_8") (pintype "passive") (tstamp ebae0a19-e34a-4830-9f21-d6250c5433c0))
(pad "9" thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 9 "Net-(J1-Pad9)") (pinfunction "Pin_9") (pintype "passive") (tstamp c4657ee0-8f58-43e4-911a-a7f9107350c8))
(pad "10" thru_hole oval (at 2.54 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 10 "Net-(J1-Pad10)") (pinfunction "Pin_10") (pintype "passive") (tstamp 75227cf2-c6c4-451e-ab46-39855c3d0de4))
(pad "11" thru_hole oval (at 0 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 11 "Net-(J1-Pad11)") (pinfunction "Pin_11") (pintype "passive") (tstamp e4f89308-65c3-4515-99a0-8d10d2dd86d3))
(pad "12" thru_hole oval (at 2.54 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 12 "Net-(J1-Pad12)") (pinfunction "Pin_12") (pintype "passive") (tstamp 0b9db6a1-73f2-440f-b23c-154d37a55152))
(pad "13" thru_hole oval (at 0 15.24) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 13 "Net-(J1-Pad13)") (pinfunction "Pin_13") (pintype "passive") (tstamp 1a4cabf4-bb88-4dda-b02f-1ad168206506))
(pad "14" thru_hole oval (at 2.54 15.24) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 14 "Net-(J1-Pad14)") (pinfunction "Pin_14") (pintype "passive") (tstamp f9f4f927-efb9-4680-8e93-dcd266c2152e))
(pad "15" thru_hole oval (at 0 17.78) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 15 "Net-(J1-Pad15)") (pinfunction "Pin_15") (pintype "passive") (tstamp 2507c2b6-86ba-4729-8a2b-afd5473e61cb))
(pad "16" thru_hole oval (at 2.54 17.78) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 16 "Net-(J1-Pad16)") (pinfunction "Pin_16") (pintype "passive") (tstamp 3158c95a-120b-4507-844c-5bd755428b51))
(pad "17" thru_hole oval (at 0 20.32) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 "Net-(J1-Pad17)") (pinfunction "Pin_17") (pintype "passive") (tstamp e5b6e39c-7078-4c16-8959-fb6d3101900c))
(pad "18" thru_hole oval (at 2.54 20.32) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 18 "Net-(J1-Pad18)") (pinfunction "Pin_18") (pintype "passive") (tstamp 0a252a6b-f0de-4f54-8258-79e35c7a19e0))
(model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_2x09_P2.54mm_Vertical.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(footprint "s47-imported:GCT_FFC2B35-18-G_REVA2" (layer "F.Cu")
(tedit 632A1D32) (tstamp 3b95a192-f7d9-4244-b5b0-fa81a40a0bae)
(at 53.975 69.85 -90)
(property "Sheetfile" "")
(property "Sheetname" "")
(path "/89c9640c-0aba-416f-afe1-240cf3d6f905")
(attr through_hole)
(fp_text reference "J1" (at -5.71 -3.429 90) (layer "F.SilkS") hide
(effects (font (size 0.5 0.5) (thickness 0.075)))
(tstamp bc3a13e6-949b-4b58-9f93-96c11fe8d543)
)
(fp_text value "FlatFlex" (at 0 -0.025 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 789cb7b3-c2eb-474a-b2d7-9daa0e7d696c)
)
(fp_line (start 6.7 -2.7) (end 6.7 -1.42) (layer "F.SilkS") (width 0.2) (tstamp 0669e2d3-6cd6-4d55-88e7-0ccd29623121))
(fp_line (start -6.7 -1.42) (end -6.7 -2.7) (layer "F.SilkS") (width 0.2) (tstamp 1329f5bb-5fe9-41b1-8575-8f6d5c20c16a))
(fp_line (start 6.7 3.35) (end 6.7 1.42) (layer "F.SilkS") (width 0.2) (tstamp 68f071bc-c7ec-4ac7-bbd3-8740c3d629cb))
(fp_line (start 6.7 3.35) (end -6.7 3.35) (layer "F.SilkS") (width 0.2) (tstamp 7627f6ce-4638-4bb5-804c-ddae154488db))
(fp_line (start -6.7 3.35) (end -6.7 1.42) (layer "F.SilkS") (width 0.2) (tstamp 98c97ac8-4edd-42ee-aee9-25efe413dfe1))
(fp_line (start 4.72 -2.7) (end 6.7 -2.7) (layer "F.SilkS") (width 0.2) (tstamp ad4162c1-9e4a-481e-a554-62e5e55364ba))
(fp_line (start -6.7 -2.7) (end -4.72 -2.7) (layer "F.SilkS") (width 0.2) (tstamp bdb254bf-051a-4c52-93f2-237713690c7a))
(fp_circle (center -4.25 -4.646) (end -4.15 -4.646) (layer "F.SilkS") (width 0.2) (fill none) (tstamp ec0a8d54-3418-42ae-be03-08093bccacb4))
(fp_line (start -7.3 3.6) (end 7.3 3.6) (layer "F.CrtYd") (width 0.05) (tstamp 23b783e7-c1f6-4b31-bedd-a4423123323b))
(fp_line (start 7.3 3.6) (end 7.3 -4.15) (layer "F.CrtYd") (width 0.05) (tstamp dfb8651e-e6b9-475f-bc29-9a304c43eb02))
(fp_line (start -7.3 -4.15) (end -7.3 3.6) (layer "F.CrtYd") (width 0.05) (tstamp f5f624a5-43c8-4b86-a7e2-aa73bafb0885))
(fp_line (start 7.3 -4.15) (end -7.3 -4.15) (layer "F.CrtYd") (width 0.05) (tstamp fe6dff00-223a-4e43-b342-7796ef9108a3))
(fp_line (start 6.7 -2.7) (end 6.7 3.35) (layer "F.Fab") (width 0.1) (tstamp 036941fb-c840-4257-a55c-07a10f472bf7))
(fp_line (start -6.7 3.35) (end -6.7 -2.7) (layer "F.Fab") (width 0.1) (tstamp 3861a92f-a3a3-4a4e-b94f-f290c98bddfc))
(fp_line (start 6.7 3.35) (end -6.7 3.35) (layer "F.Fab") (width 0.1) (tstamp 66a02c33-a994-4b76-b0c5-8b33c7e21700))
(fp_line (start -6.7 -2.7) (end 6.7 -2.7) (layer "F.Fab") (width 0.1) (tstamp 9ee76ee9-409a-4626-851c-82bb09d810e8))
(fp_circle (center -4.25 -4.646) (end -4.15 -4.646) (layer "F.Fab") (width 0.2) (fill none) (tstamp 99270f73-02b0-4b4a-8832-259cf627b448))
(pad "1" smd rect (at -4.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 1 "Net-(J1-Pad1)") (pinfunction "Pin_1") (pintype "passive") (tstamp ebcfcf6b-f883-4ee8-ac23-ee1a5f262fc3))
(pad "2" smd rect (at -3.75 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 2 "Net-(J1-Pad2)") (pinfunction "Pin_2") (pintype "passive") (tstamp a51ea576-0609-4a39-a5cd-db580f77626b))
(pad "3" smd rect (at -3.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 3 "Net-(J1-Pad3)") (pinfunction "Pin_3") (pintype "passive") (tstamp 7992028f-2b80-415e-9518-82b2516dc6db))
(pad "4" smd rect (at -2.75 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 4 "Net-(J1-Pad4)") (pinfunction "Pin_4") (pintype "passive") (tstamp 9df3c81a-bb00-46ef-bf43-34ad4b7b5bd3))
(pad "5" smd rect (at -2.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 5 "Net-(J1-Pad5)") (pinfunction "Pin_5") (pintype "passive") (tstamp c0385c2c-16ff-49cd-bb3e-cb7ac54c558a))
(pad "6" smd rect (at -1.75 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 6 "Net-(J1-Pad6)") (pinfunction "Pin_6") (pintype "passive") (tstamp 22808eea-9991-48ca-8f2e-f5b745b1090d))
(pad "7" smd rect (at -1.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 7 "Net-(J1-Pad7)") (pinfunction "Pin_7") (pintype "passive") (tstamp 59ac423b-9a15-44ae-b095-f8c5d7f95d41))
(pad "8" smd rect (at -0.75 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 8 "Net-(J1-Pad8)") (pinfunction "Pin_8") (pintype "passive") (tstamp 17e9eb53-6cf1-4177-aa84-4edb6fb7a05b))
(pad "9" smd rect (at -0.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 9 "Net-(J1-Pad9)") (pinfunction "Pin_9") (pintype "passive") (tstamp e8d4882a-c6ad-49f6-983b-f2f79372ca8c))
(pad "10" smd rect (at 0.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 10 "Net-(J1-Pad10)") (pinfunction "Pin_10") (pintype "passive") (tstamp 14edda84-5fd7-4527-8b3f-4f0e250e29c6))
(pad "11" smd rect (at 0.75 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 11 "Net-(J1-Pad11)") (pinfunction "Pin_11") (pintype "passive") (tstamp 17ef8bd8-0186-4aad-959b-5d86f4e2158d))
(pad "12" smd rect (at 1.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 12 "Net-(J1-Pad12)") (pinfunction "Pin_12") (pintype "passive") (tstamp fcf8e3de-2119-4753-be92-ec7568ddf42a))
(pad "13" smd rect (at 1.75 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 13 "Net-(J1-Pad13)") (pinfunction "Pin_13") (pintype "passive") (tstamp c5b364d6-1942-4368-b947-35d9ea520afa))
(pad "14" smd rect (at 2.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 14 "Net-(J1-Pad14)") (pinfunction "Pin_14") (pintype "passive") (tstamp 0b47bd30-b8f4-4d44-8824-c781740a9db6))
(pad "15" smd rect (at 2.75 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 15 "Net-(J1-Pad15)") (pinfunction "Pin_15") (pintype "passive") (tstamp f33de834-6394-44ff-8590-5a1c11d2bb2e))
(pad "16" smd rect (at 3.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 16 "Net-(J1-Pad16)") (pinfunction "Pin_16") (pintype "passive") (tstamp 2a23835c-2d6d-43f4-b7ea-45df3be8d456))
(pad "17" smd rect (at 3.75 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 17 "Net-(J1-Pad17)") (pinfunction "Pin_17") (pintype "passive") (tstamp 2618af75-f350-4414-8921-ef8ab579c2dc))
(pad "18" smd rect (at 4.25 -3.25 270) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask")
(net 18 "Net-(J1-Pad18)") (pinfunction "Pin_18") (pintype "passive") (tstamp 6d35269e-257a-4717-ac18-e034a31643be))
(pad "S1" smd rect (at -6.15 0 270) (size 1.8 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f413d8fa-10d9-4c00-9da5-2bfbfe96fea7))
(pad "S2" smd rect (at 6.15 0 270) (size 1.8 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 173e8926-57c0-492a-9826-6c0a252b0d88))
)
(gr_line (start 49.999999 82.000001) (end 50 58) (layer "Edge.Cuts") (width 0.05) (tstamp 166209f3-f10b-49e2-9445-365c2ecba904))
(gr_arc (start 49.999999 57.999999) (mid 50.146446 57.646446) (end 50.499999 57.499999) (layer "Edge.Cuts") (width 0.05) (tstamp 2c523a10-fe56-4816-88ee-7723dcd60556))
(gr_line (start 50.5 57.5) (end 72 57.5) (layer "Edge.Cuts") (width 0.05) (tstamp 3032957f-6dab-45e8-89df-6efcb57aa8d0))
(gr_arc (start 72.500001 82.000001) (mid 72.353554 82.353554) (end 72.000001 82.500001) (layer "Edge.Cuts") (width 0.05) (tstamp 3d6f4f79-4d1e-4de9-987b-4c811fb03a47))
(gr_arc (start 50.499999 82.500001) (mid 50.146446 82.353554) (end 49.999999 82.000001) (layer "Edge.Cuts") (width 0.05) (tstamp 7c42647e-1dee-4d0e-8049-fde3f1c6d42c))
(gr_line (start 72.000001 82.500001) (end 50.499999 82.500001) (layer "Edge.Cuts") (width 0.05) (tstamp d5371529-efa9-4112-8967-70da83252573))
(gr_arc (start 72 57.5) (mid 72.353553 57.646447) (end 72.5 58) (layer "Edge.Cuts") (width 0.05) (tstamp dd6235d7-afb0-4c5b-8212-c6ad90023350))
(gr_line (start 72.5 58) (end 72.500001 82.000001) (layer "Edge.Cuts") (width 0.05) (tstamp fe1b8736-82bf-42d7-ad25-6d272963fa17))
(segment (start 64.135 59.69) (end 66.66 59.69) (width 0.2) (layer "F.Cu") (net 1) (tstamp 36518f97-ba5b-40ed-8edb-8a6b0cf111ff))
(segment (start 57.225 65.6) (end 58.225 65.6) (width 0.2) (layer "F.Cu") (net 1) (tstamp 794a4e05-b52a-4276-b887-1ca0b2365834))
(segment (start 66.66 59.69) (end 66.67 59.7) (width 0.2) (layer "F.Cu") (net 1) (tstamp 8a4ad009-ebe7-438c-9d23-16536476d67d))
(segment (start 58.225 65.6) (end 64.135 59.69) (width 0.2) (layer "F.Cu") (net 1) (tstamp f1999963-9ff6-4a1c-ac03-432493ae9aa4))
(segment (start 67.95 60.96) (end 69.21 59.7) (width 0.2) (layer "F.Cu") (net 2) (tstamp 31c42a98-963a-435a-81a8-10e5e2bee1b6))
(segment (start 63.5 60.96) (end 67.95 60.96) (width 0.2) (layer "F.Cu") (net 2) (tstamp 507dd3f0-e01f-485b-a7d1-05663718469a))
(segment (start 57.225 66.1) (end 58.36 66.1) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7408153f-ba82-46bb-8961-76c3b48a4f78))
(segment (start 58.36 66.1) (end 63.5 60.96) (width 0.2) (layer "F.Cu") (net 2) (tstamp a0f1087b-4f6d-4397-8b63-01b9b369611a))
(segment (start 62.865 62.23) (end 66.66 62.23) (width 0.2) (layer "F.Cu") (net 3) (tstamp 59edf362-3b67-4b8f-b4ea-95b62a7ad518))
(segment (start 58.495 66.6) (end 62.865 62.23) (width 0.2) (layer "F.Cu") (net 3) (tstamp b504c9bd-ded7-4585-b6c9-f306f786d3f0))
(segment (start 66.66 62.23) (end 66.67 62.24) (width 0.2) (layer "F.Cu") (net 3) (tstamp d9996b8a-360a-4ae9-aaf1-43be0ce786f1))
(segment (start 57.225 66.6) (end 58.495 66.6) (width 0.2) (layer "F.Cu") (net 3) (tstamp f43a5442-9349-4c8b-a78d-0d812b4c59b0))
(segment (start 62.23 63.5) (end 67.95 63.5) (width 0.2) (layer "F.Cu") (net 4) (tstamp 6dd6fabf-9a43-464f-8b46-80706531845f))
(segment (start 67.95 63.5) (end 69.21 62.24) (width 0.2) (layer "F.Cu") (net 4) (tstamp 912d7f9b-a634-4386-bd88-7b23ec4c76f7))
(segment (start 58.63 67.1) (end 62.23 63.5) (width 0.2) (layer "F.Cu") (net 4) (tstamp b32ec150-a077-4620-816f-7b8cb6e6fd3f))
(segment (start 57.225 67.1) (end 58.63 67.1) (width 0.2) (layer "F.Cu") (net 4) (tstamp fd15d44c-b523-42da-936b-e67b166fc7b1))
(segment (start 57.225 67.6) (end 58.765 67.6) (width 0.2) (layer "F.Cu") (net 5) (tstamp 06eabb0e-3240-4674-baad-0d69bd23581d))
(segment (start 66.66 64.77) (end 66.67 64.78) (width 0.2) (layer "F.Cu") (net 5) (tstamp 15300a69-35a9-47a6-be40-fb199468967e))
(segment (start 58.765 67.6) (end 61.595 64.77) (width 0.2) (layer "F.Cu") (net 5) (tstamp b0c702c5-2d53-4491-bb24-0c04a343c7ab))
(segment (start 61.595 64.77) (end 66.66 64.77) (width 0.2) (layer "F.Cu") (net 5) (tstamp f1f7d6aa-9b95-4829-987c-035055ebd242))
(segment (start 58.9 68.1) (end 60.96 66.04) (width 0.2) (layer "F.Cu") (net 6) (tstamp 216a30ce-4e68-438e-9e58-c23b9b479cc4))
(segment (start 67.95 66.04) (end 69.21 64.78) (width 0.2) (layer "F.Cu") (net 6) (tstamp 95bf3046-24e5-41ec-a9dd-535ec08e0481))
(segment (start 57.225 68.1) (end 58.9 68.1) (width 0.2) (layer "F.Cu") (net 6) (tstamp ac712d52-8053-4694-9cb4-466d4b739f60))
(segment (start 60.96 66.04) (end 67.95 66.04) (width 0.2) (layer "F.Cu") (net 6) (tstamp c3c625d4-8669-4f85-9eb3-6fa012b9f9a5))
(segment (start 66.66 67.31) (end 66.67 67.32) (width 0.2) (layer "F.Cu") (net 7) (tstamp 18129df3-5e9f-4c11-820b-3c9c909bef48))
(segment (start 57.225 68.6) (end 59.035 68.6) (width 0.2) (layer "F.Cu") (net 7) (tstamp 58588d09-3bb9-4485-a940-d65342315d97))
(segment (start 60.325 67.31) (end 66.66 67.31) (width 0.2) (layer "F.Cu") (net 7) (tstamp 964530b0-855a-431a-bb78-dce9f7001186))
(segment (start 59.035 68.6) (end 60.325 67.31) (width 0.2) (layer "F.Cu") (net 7) (tstamp e75816db-16b2-428e-8cf0-f8172a40157d))
(segment (start 59.69 68.58) (end 67.95 68.58) (width 0.2) (layer "F.Cu") (net 8) (tstamp 226dd8ea-41c6-4e14-867a-b48b95f3022a))
(segment (start 67.95 68.58) (end 69.21 67.32) (width 0.2) (layer "F.Cu") (net 8) (tstamp a8693034-a8d6-4a4c-a3b4-00117ab03a74))
(segment (start 57.225 69.1) (end 59.17 69.1) (width 0.2) (layer "F.Cu") (net 8) (tstamp c3d527d5-2b66-4b2f-b2be-1a75c92790c8))
(segment (start 59.17 69.1) (end 59.69 68.58) (width 0.2) (layer "F.Cu") (net 8) (tstamp d10869df-33b7-47ed-ae53-f685ed275dc8))
(segment (start 59.7 69.86) (end 66.67 69.86) (width 0.2) (layer "F.Cu") (net 9) (tstamp af802bf7-e300-4099-882f-fb482ddc3c15))
(segment (start 57.225 69.6) (end 59.44 69.6) (width 0.2) (layer "F.Cu") (net 9) (tstamp d32e2c0c-e978-4c27-b232-81c3cefe57ad))
(segment (start 59.44 69.6) (end 59.7 69.86) (width 0.2) (layer "F.Cu") (net 9) (tstamp d3982874-4900-4309-8c8c-1c05a120579e))
(segment (start 57.225 70.1) (end 59.305 70.1) (width 0.2) (layer "F.Cu") (net 10) (tstamp 0faf308b-8a03-4ab5-8602-ef1472e79cd7))
(segment (start 67.95 71.12) (end 69.21 69.86) (width 0.2) (layer "F.Cu") (net 10) (tstamp 580be6ad-14b6-4a09-952f-06e122b47724))
(segment (start 60.325 71.12) (end 67.95 71.12) (width 0.2) (layer "F.Cu") (net 10) (tstamp 5cc9b96d-c070-467e-a5e9-761f688a94e6))
(segment (start 59.305 70.1) (end 60.325 71.12) (width 0.2) (layer "F.Cu") (net 10) (tstamp dcf1217f-5362-43f4-a19a-3b68c4d14595))
(segment (start 59.17 70.6) (end 60.97 72.4) (width 0.2) (layer "F.Cu") (net 11) (tstamp 7d2a8682-3739-48bb-8d83-663ad60e2ada))
(segment (start 60.97 72.4) (end 66.67 72.4) (width 0.2) (layer "F.Cu") (net 11) (tstamp 82e47ddb-64e5-45ac-9641-7a13bf29589e))
(segment (start 57.225 70.6) (end 59.17 70.6) (width 0.2) (layer "F.Cu") (net 11) (tstamp c9523a66-0fa4-4397-bb1d-8b89cea0a734))
(segment (start 57.225 71.1) (end 59.035 71.1) (width 0.2) (layer "F.Cu") (net 12) (tstamp 5629833c-794d-4167-a8c7-7a8503e235bd))
(segment (start 67.95 73.66) (end 69.21 72.4) (width 0.2) (layer "F.Cu") (net 12) (tstamp 598abe44-6b0f-44d3-a22b-06ef3428dc0e))
(segment (start 61.595 73.66) (end 67.95 73.66) (width 0.2) (layer "F.Cu") (net 12) (tstamp bb140810-0a30-4b42-927a-691aacba14cf))
(segment (start 59.035 71.1) (end 61.595 73.66) (width 0.2) (layer "F.Cu") (net 12) (tstamp f83e4c48-9c2b-4226-bddd-7fa2d33d87e6))
(segment (start 58.9 71.6) (end 62.24 74.94) (width 0.2) (layer "F.Cu") (net 13) (tstamp 240da9f0-88d1-4e60-be55-18b86ef23f5b))
(segment (start 62.24 74.94) (end 66.67 74.94) (width 0.2) (layer "F.Cu") (net 13) (tstamp b3d1c6db-d5a6-4d7c-953a-a754351f04cf))
(segment (start 57.225 71.6) (end 58.9 71.6) (width 0.2) (layer "F.Cu") (net 13) (tstamp f2591280-8024-46c6-a90c-59869ecb1b13))
(segment (start 67.95 76.2) (end 69.21 74.94) (width 0.2) (layer "F.Cu") (net 14) (tstamp 6a98ac44-789d-4fdc-a2b3-0cdfda1780aa))
(segment (start 58.765 72.1) (end 62.865 76.2) (width 0.2) (layer "F.Cu") (net 14) (tstamp b32d50a4-d524-4f49-8ee7-61432bbeb0c8))
(segment (start 62.865 76.2) (end 67.95 76.2) (width 0.2) (layer "F.Cu") (net 14) (tstamp e8c89ab4-9727-4434-a975-410d5d138245))
(segment (start 57.225 72.1) (end 58.765 72.1) (width 0.2) (layer "F.Cu") (net 14) (tstamp e949ecc8-aecf-4078-865f-e10ec793e4e0))
(segment (start 58.63 72.6) (end 63.51 77.48) (width 0.2) (layer "F.Cu") (net 15) (tstamp 67f3b338-afbb-41f4-af92-1ff992847631))
(segment (start 57.225 72.6) (end 58.63 72.6) (width 0.2) (layer "F.Cu") (net 15) (tstamp a8fb45be-c959-45f7-9dec-13c8ff134cec))
(segment (start 63.51 77.48) (end 66.67 77.48) (width 0.2) (layer "F.Cu") (net 15) (tstamp db38e01e-3c95-455d-b6de-63e1a93b4169))
(segment (start 64.135 78.74) (end 67.95 78.74) (width 0.2) (layer "F.Cu") (net 16) (tstamp 52959bf7-2b1d-449e-9b66-230d7c730110))
(segment (start 57.225 73.1) (end 58.495 73.1) (width 0.2) (layer "F.Cu") (net 16) (tstamp a6ed2c85-029a-4b60-bd5d-0eada39c7299))
(segment (start 58.495 73.1) (end 64.135 78.74) (width 0.2) (layer "F.Cu") (net 16) (tstamp cb9c3d09-cad0-4efb-837e-132b501122bd))
(segment (start 67.95 78.74) (end 69.21 77.48) (width 0.2) (layer "F.Cu") (net 16) (tstamp d4fb490f-43e3-4310-ab1c-8ae90a2665dc))
(segment (start 58.36 73.6) (end 64.77 80.01) (width 0.2) (layer "F.Cu") (net 17) (tstamp 0537495e-4e31-4569-8175-907c25190de7))
(segment (start 64.77 80.01) (end 66.66 80.01) (width 0.2) (layer "F.Cu") (net 17) (tstamp 464dd4cb-4b80-4525-b36c-f5d0450bd424))
(segment (start 66.66 80.01) (end 66.67 80.02) (width 0.2) (layer "F.Cu") (net 17) (tstamp 9a94d7bd-98f3-474d-9bf2-f492e6d7d52b))
(segment (start 57.225 73.6) (end 58.36 73.6) (width 0.2) (layer "F.Cu") (net 17) (tstamp fdce8d07-8cd9-4687-9fc2-183058ff9aeb))
(segment (start 57.225 74.1) (end 58.225 74.1) (width 0.2) (layer "F.Cu") (net 18) (tstamp 18bb25aa-0c26-4c10-b88c-2f523fedb6b1))
(segment (start 65.405 81.28) (end 67.95 81.28) (width 0.2) (layer "F.Cu") (net 18) (tstamp 545b02ba-754e-42ad-98fb-14a8a29b5e24))
(segment (start 67.95 81.28) (end 69.21 80.02) (width 0.2) (layer "F.Cu") (net 18) (tstamp 626bb11b-e04b-4b67-a0ab-d307f3d85b29))
(segment (start 58.225 74.1) (end 65.405 81.28) (width 0.2) (layer "F.Cu") (net 18) (tstamp ee78ab28-e066-4b7d-aaaf-6e9a57e3029e))
)

View File

@ -0,0 +1,418 @@
{
"board": {
"design_settings": {
"defaults": {
"board_outline_line_width": 0.049999999999999996,
"copper_line_width": 0.19999999999999998,
"copper_text_italic": false,
"copper_text_size_h": 1.5,
"copper_text_size_v": 1.5,
"copper_text_thickness": 0.3,
"copper_text_upright": false,
"courtyard_line_width": 0.049999999999999996,
"dimension_precision": 4,
"dimension_units": 3,
"dimensions": {
"arrow_length": 1270000,
"extension_offset": 500000,
"keep_text_aligned": true,
"suppress_zeroes": false,
"text_position": 0,
"units_format": 1
},
"fab_line_width": 0.09999999999999999,
"fab_text_italic": false,
"fab_text_size_h": 1.0,
"fab_text_size_v": 1.0,
"fab_text_thickness": 0.15,
"fab_text_upright": false,
"other_line_width": 0.09999999999999999,
"other_text_italic": false,
"other_text_size_h": 1.0,
"other_text_size_v": 1.0,
"other_text_thickness": 0.15,
"other_text_upright": false,
"pads": {
"drill": 0.762,
"height": 1.524,
"width": 1.524
},
"silk_line_width": 0.12,
"silk_text_italic": false,
"silk_text_size_h": 1.0,
"silk_text_size_v": 1.0,
"silk_text_thickness": 0.15,
"silk_text_upright": false,
"zones": {
"45_degree_only": false,
"min_clearance": 0.508
}
},
"diff_pair_dimensions": [],
"drc_exclusions": [],
"meta": {
"version": 2
},
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"copper_edge_clearance": "error",
"courtyards_overlap": "error",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "warning",
"extra_footprint": "warning",
"footprint_type_mismatch": "error",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",
"missing_footprint": "warning",
"net_conflict": "warning",
"npth_inside_courtyard": "ignore",
"padstack": "error",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_over_copper": "warning",
"silk_overlap": "warning",
"skew_out_of_range": "error",
"through_hole_pad_without_hole": "error",
"too_many_vias": "error",
"track_dangling": "warning",
"track_width": "error",
"tracks_crossing": "error",
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zone_has_empty_net": "error",
"zones_intersect": "error"
},
"rules": {
"allow_blind_buried_vias": false,
"allow_microvias": false,
"max_error": 0.005,
"min_clearance": 0.0,
"min_copper_edge_clearance": 0.01,
"min_hole_clearance": 0.25,
"min_hole_to_hole": 0.25,
"min_microvia_diameter": 0.19999999999999998,
"min_microvia_drill": 0.09999999999999999,
"min_silk_clearance": 0.0,
"min_through_hole_diameter": 0.3,
"min_track_width": 0.19999999999999998,
"min_via_annular_width": 0.049999999999999996,
"min_via_diameter": 0.39999999999999997,
"use_height_for_length_calcs": true
},
"track_widths": [],
"via_dimensions": [],
"zones_allow_external_fillets": false,
"zones_use_no_outline": true
},
"layer_presets": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_label_syntax": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "xs-breakout.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12.0,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.25,
"via_diameter": 0.8,
"via_drill": 0.4,
"wire_width": 6.0
}
],
"meta": {
"version": 2
},
"net_colors": null
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"specctra_dsn": "",
"step": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"drawing": {
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.375,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.15
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "",
"ngspice": {
"fix_include_paths": true,
"fix_passive_vals": false,
"meta": {
"version": 0
},
"model_mode": 0,
"workbook_filename": ""
},
"page_layout_descr_file": "",
"plot_directory": "",
"spice_adjust_passive_values": false,
"spice_external_command": "spice \"%I\"",
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"2f217ff7-e92f-4b02-a58e-e8acccdc3885",
""
]
],
"text_variables": {}
}

View File

@ -0,0 +1,713 @@
(kicad_sch (version 20211123) (generator eeschema)
(uuid 2f217ff7-e92f-4b02-a58e-e8acccdc3885)
(paper "A4")
(title_block
(date "2022-12-26")
(rev "1.0")
)
(lib_symbols
(symbol "Connector_Generic:Conn_01x18" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "J" (id 0) (at 0 22.86 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Conn_01x18" (id 1) (at 0 -25.4 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "connector" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Generic connector, single row, 01x18, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Conn_01x18_1_1"
(rectangle (start -1.27 -22.733) (end 0 -22.987)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -20.193) (end 0 -20.447)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -17.653) (end 0 -17.907)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -15.113) (end 0 -15.367)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -12.573) (end 0 -12.827)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -10.033) (end 0 -10.287)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -7.493) (end 0 -7.747)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -4.953) (end 0 -5.207)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -2.413) (end 0 -2.667)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 0.127) (end 0 -0.127)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 2.667) (end 0 2.413)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 5.207) (end 0 4.953)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 7.747) (end 0 7.493)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 10.287) (end 0 10.033)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 12.827) (end 0 12.573)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 15.367) (end 0 15.113)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 17.907) (end 0 17.653)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 20.447) (end 0 20.193)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 21.59) (end 1.27 -24.13)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(pin passive line (at -5.08 20.32 0) (length 3.81)
(name "Pin_1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -2.54 0) (length 3.81)
(name "Pin_10" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -5.08 0) (length 3.81)
(name "Pin_11" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -7.62 0) (length 3.81)
(name "Pin_12" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -10.16 0) (length 3.81)
(name "Pin_13" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -12.7 0) (length 3.81)
(name "Pin_14" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -15.24 0) (length 3.81)
(name "Pin_15" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -17.78 0) (length 3.81)
(name "Pin_16" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -20.32 0) (length 3.81)
(name "Pin_17" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -22.86 0) (length 3.81)
(name "Pin_18" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 17.78 0) (length 3.81)
(name "Pin_2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 15.24 0) (length 3.81)
(name "Pin_3" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 12.7 0) (length 3.81)
(name "Pin_4" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 10.16 0) (length 3.81)
(name "Pin_5" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 7.62 0) (length 3.81)
(name "Pin_6" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 5.08 0) (length 3.81)
(name "Pin_7" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 2.54 0) (length 3.81)
(name "Pin_8" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 0 0) (length 3.81)
(name "Pin_9" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Connector_Generic:Conn_02x09_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "J" (id 0) (at 1.27 12.7 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Conn_02x09_Odd_Even" (id 1) (at 1.27 -12.7 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "connector" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Generic connector, double row, 02x09, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Connector*:*_2x??_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Conn_02x09_Odd_Even_1_1"
(rectangle (start -1.27 -10.033) (end 0 -10.287)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -7.493) (end 0 -7.747)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -4.953) (end 0 -5.207)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -2.413) (end 0 -2.667)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 0.127) (end 0 -0.127)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 2.667) (end 0 2.413)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 5.207) (end 0 4.953)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 7.747) (end 0 7.493)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 10.287) (end 0 10.033)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 11.43) (end 3.81 -11.43)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(rectangle (start 3.81 -10.033) (end 2.54 -10.287)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 -7.493) (end 2.54 -7.747)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 -4.953) (end 2.54 -5.207)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 -2.413) (end 2.54 -2.667)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 0.127) (end 2.54 -0.127)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 2.667) (end 2.54 2.413)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 5.207) (end 2.54 4.953)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 7.747) (end 2.54 7.493)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 10.287) (end 2.54 10.033)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(pin passive line (at -5.08 10.16 0) (length 3.81)
(name "Pin_1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 0 180) (length 3.81)
(name "Pin_10" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -2.54 0) (length 3.81)
(name "Pin_11" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -2.54 180) (length 3.81)
(name "Pin_12" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -5.08 0) (length 3.81)
(name "Pin_13" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -5.08 180) (length 3.81)
(name "Pin_14" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -7.62 0) (length 3.81)
(name "Pin_15" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -7.62 180) (length 3.81)
(name "Pin_16" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -10.16 0) (length 3.81)
(name "Pin_17" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -10.16 180) (length 3.81)
(name "Pin_18" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 10.16 180) (length 3.81)
(name "Pin_2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 7.62 0) (length 3.81)
(name "Pin_3" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 7.62 180) (length 3.81)
(name "Pin_4" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 5.08 0) (length 3.81)
(name "Pin_5" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 5.08 180) (length 3.81)
(name "Pin_6" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 2.54 0) (length 3.81)
(name "Pin_7" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 2.54 180) (length 3.81)
(name "Pin_8" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 0 0) (length 3.81)
(name "Pin_9" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
)
(wire (pts (xy 165.1 101.6) (xy 185.42 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 03b5f724-5177-43ce-a4e1-545124eaabd8)
)
(wire (pts (xy 170.18 124.46) (xy 109.22 124.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 04c1064b-f374-4af7-b2e5-722e06822e07)
)
(wire (pts (xy 114.3 129.54) (xy 114.3 106.68))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 056953b4-d759-462d-9822-02d536cb2178)
)
(wire (pts (xy 124.46 139.7) (xy 124.46 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 089a9037-c719-4bcc-a202-0b48e7e01fb3)
)
(wire (pts (xy 116.84 132.08) (xy 116.84 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 09d6b57b-ceaa-46ad-9b9b-7275613e3fe0)
)
(wire (pts (xy 106.68 96.52) (xy 119.38 96.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0be96d3b-b3a7-456f-8251-2408c8febad4)
)
(wire (pts (xy 106.68 121.92) (xy 167.64 121.92))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0c863eb9-56b9-498e-8a76-d52e4180a72d)
)
(wire (pts (xy 106.68 91.44) (xy 121.92 91.44))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 109ef81d-141e-4948-ace0-b6c797ca9fbf)
)
(wire (pts (xy 170.18 116.84) (xy 170.18 124.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 14ec87c2-9b87-47ac-aaed-10d0fb72d9b5)
)
(wire (pts (xy 142.24 104.14) (xy 142.24 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 184d49be-b6d2-4869-a0e0-611d0580e4fe)
)
(wire (pts (xy 109.22 124.46) (xy 109.22 116.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 19517a0a-ea44-4a49-9301-f1e87912785c)
)
(wire (pts (xy 139.7 106.68) (xy 139.7 93.98))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1a667e89-aa53-469e-8162-fb09437bc6f3)
)
(wire (pts (xy 106.68 114.3) (xy 129.54 114.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1abad4ac-4964-42e9-808f-196a3d7e9539)
)
(wire (pts (xy 152.4 104.14) (xy 142.24 104.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2025a324-f958-4f30-95b9-a4f2768e361f)
)
(wire (pts (xy 165.1 99.06) (xy 187.96 99.06))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2070a041-ced8-4993-bca6-cd8be3559c31)
)
(wire (pts (xy 165.1 106.68) (xy 180.34 106.68))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2d7c4fcf-909c-4bd7-a309-51bdc7f474f2)
)
(wire (pts (xy 185.42 101.6) (xy 185.42 139.7))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2f3be883-67bc-428c-bc7d-c0212f1548a6)
)
(wire (pts (xy 127 142.24) (xy 127 81.28))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 39fb7e90-844c-45a9-bf0e-aef6adf980e4)
)
(wire (pts (xy 180.34 106.68) (xy 180.34 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 40467275-0a1c-4f7c-8ea9-8cf8bc3f0be9)
)
(wire (pts (xy 165.1 109.22) (xy 177.8 109.22))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 457b7145-617b-4ad5-b1b5-9514fbd1dd97)
)
(wire (pts (xy 152.4 116.84) (xy 129.54 116.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4d5be0d3-8940-4b39-b71e-137c61bacc2f)
)
(wire (pts (xy 165.1 116.84) (xy 170.18 116.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4fcb8fe1-b613-4c92-a6f0-c3348c98cfc4)
)
(wire (pts (xy 167.64 119.38) (xy 167.64 121.92))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 562001da-b659-4a14-a790-35ab328fd8a4)
)
(wire (pts (xy 175.26 111.76) (xy 175.26 129.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5994b15d-75a4-4f72-bd9b-ac18ea81e6d0)
)
(wire (pts (xy 144.78 101.6) (xy 144.78 83.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5a2b54ab-5e8a-4864-90f7-034876d9d5f7)
)
(wire (pts (xy 165.1 119.38) (xy 167.64 119.38))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5c63df99-5ad9-470a-9a11-6a76b6c811e2)
)
(wire (pts (xy 106.68 81.28) (xy 127 81.28))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5e559711-2b63-4038-8d6a-1e41ad900bbe)
)
(wire (pts (xy 106.68 104.14) (xy 134.62 104.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5eefc51e-b8b6-4a60-a74a-0b0e683ad496)
)
(wire (pts (xy 165.1 114.3) (xy 172.72 114.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6c4ce6de-7c5b-4ba3-a3b3-2dabd45500ed)
)
(wire (pts (xy 129.54 116.84) (xy 129.54 114.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6e16ecea-7891-4dd5-94ea-4e98c1f96492)
)
(wire (pts (xy 182.88 104.14) (xy 182.88 137.16))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6e99ddb6-f9be-4537-88f7-c65b1368c269)
)
(wire (pts (xy 180.34 134.62) (xy 119.38 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6fd75d93-3392-4299-8505-c7bb76fc1f61)
)
(wire (pts (xy 152.4 109.22) (xy 137.16 109.22))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7530cb63-a729-4206-9268-ae93b8400cec)
)
(wire (pts (xy 106.68 86.36) (xy 124.46 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 77d11413-7c49-4397-828b-b62b9afefdfc)
)
(wire (pts (xy 106.68 93.98) (xy 139.7 93.98))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 81326557-de16-4577-8cfa-1e13546c0c1c)
)
(wire (pts (xy 152.4 111.76) (xy 134.62 111.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 826d37df-8697-495b-b2d1-adf550a1df63)
)
(wire (pts (xy 187.96 99.06) (xy 187.96 142.24))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 87f70261-bc82-4f80-ab51-2c5c8bc8bd45)
)
(wire (pts (xy 165.1 111.76) (xy 175.26 111.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 910269ba-07b6-494e-9ccb-17854daad7c0)
)
(wire (pts (xy 147.32 99.06) (xy 147.32 78.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 94c302ea-fc6c-47c2-b7af-5bf2941affaf)
)
(wire (pts (xy 132.08 114.3) (xy 132.08 109.22))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9589c4c0-f75e-4268-85d8-a3b77e31c54a)
)
(wire (pts (xy 134.62 104.14) (xy 134.62 111.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 95f0ffca-2c13-49bd-a804-f04dde1e97bb)
)
(wire (pts (xy 106.68 119.38) (xy 152.4 119.38))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 971d71ef-c071-4ad3-95f2-374dd1478f34)
)
(wire (pts (xy 114.3 129.54) (xy 175.26 129.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9e91ea18-f753-4e27-86ee-0c3a9fd2936a)
)
(wire (pts (xy 152.4 99.06) (xy 147.32 99.06))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a638d85a-425a-4409-a57d-8bebbe21fd07)
)
(wire (pts (xy 106.68 88.9) (xy 142.24 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ad08517f-75dc-4bb7-98ab-a7cf06c3f5b4)
)
(wire (pts (xy 121.92 137.16) (xy 182.88 137.16))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b0caf6e4-86b5-4b35-93db-dd34e8004eec)
)
(wire (pts (xy 106.68 83.82) (xy 144.78 83.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b4f1adab-00e5-445d-bd42-a70dda756a93)
)
(wire (pts (xy 127 142.24) (xy 187.96 142.24))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b97b924a-88b5-4f44-ac71-95d83214afc6)
)
(wire (pts (xy 111.76 127) (xy 111.76 111.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b9d2d54a-cd8d-4a81-8d7d-e5f0977477bc)
)
(wire (pts (xy 124.46 139.7) (xy 185.42 139.7))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ba5d7cc7-b6b5-44e1-8b5e-c458d079aeec)
)
(wire (pts (xy 121.92 137.16) (xy 121.92 91.44))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bade79b6-559c-4be4-93e8-f476a4de84e7)
)
(wire (pts (xy 106.68 111.76) (xy 111.76 111.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bc23deb5-5ca0-479a-9357-6822e87b423b)
)
(wire (pts (xy 172.72 127) (xy 111.76 127))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c10a1500-fab2-4d7b-a40f-1f5cefdd9d74)
)
(wire (pts (xy 172.72 114.3) (xy 172.72 127))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ca0eec8e-086a-4bc9-96a6-6da2fd29545f)
)
(wire (pts (xy 106.68 106.68) (xy 114.3 106.68))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid cdc9dddb-2432-4772-bb0f-04d37bfcb1ec)
)
(wire (pts (xy 137.16 109.22) (xy 137.16 99.06))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ce8074ff-5cbc-44dd-a0b1-57cfacd93515)
)
(wire (pts (xy 165.1 104.14) (xy 182.88 104.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid cfa5939e-6c9f-4ae3-af0b-790ca641eafa)
)
(wire (pts (xy 177.8 132.08) (xy 116.84 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d72049f2-6dae-46c7-8753-464deaffc5ac)
)
(wire (pts (xy 106.68 116.84) (xy 109.22 116.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d7e4ef0b-7e55-426e-989f-55eae23f0926)
)
(wire (pts (xy 152.4 101.6) (xy 144.78 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d91a426f-1a13-423d-b7fd-45a4d61c12fb)
)
(wire (pts (xy 177.8 109.22) (xy 177.8 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid dc917860-b690-443a-bc49-b4aec9c07fe9)
)
(wire (pts (xy 106.68 99.06) (xy 137.16 99.06))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid dcad8d25-a91a-4241-b012-bfd415ba5cf4)
)
(wire (pts (xy 119.38 134.62) (xy 119.38 96.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e18b5d3b-2d5c-46b0-b0bd-258fe18a9f9d)
)
(wire (pts (xy 106.68 101.6) (xy 116.84 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ea3fe3a1-9e1e-4542-8dc7-aaf4fccdd270)
)
(wire (pts (xy 106.68 78.74) (xy 147.32 78.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ee33f1d9-d5cf-4b24-95d6-fa868ab33a63)
)
(wire (pts (xy 152.4 106.68) (xy 139.7 106.68))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f47eaeb0-a246-4c07-9cc6-dd4f0cf4ebf5)
)
(wire (pts (xy 106.68 109.22) (xy 132.08 109.22))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f5e27995-947e-4dd6-b668-68778bf197cc)
)
(wire (pts (xy 152.4 114.3) (xy 132.08 114.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f9c74cf2-343e-4680-8ae8-13e303aa47d6)
)
(symbol (lib_id "Connector_Generic:Conn_02x09_Odd_Even") (at 160.02 109.22 180) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 63b17cb0-bb35-429a-89c4-404a2f061470)
(property "Reference" "J2" (id 0) (at 158.75 96.6272 0))
(property "Value" "Breakout" (id 1) (at 158.75 96.6271 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_2x09_P2.54mm_Vertical" (id 2) (at 160.02 109.22 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 160.02 109.22 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid eaabe988-625c-4837-8eff-101d368adb36))
(pin "10" (uuid 530de1ce-19b5-43a0-9002-5bbe5b8ded4b))
(pin "11" (uuid d770f736-0ef3-42b6-9405-a822cf1cb7bc))
(pin "12" (uuid 345988f0-33e1-48b6-bb19-1157521e79a5))
(pin "13" (uuid 107ff54e-fb16-453a-83d6-dac0ca53bdb9))
(pin "14" (uuid 50a11cd3-6ae5-4a56-84be-25e4f1ac1d8c))
(pin "15" (uuid 8b62ec01-9741-45d9-ac8c-d32e74ea53bb))
(pin "16" (uuid 3d83aa5e-2e3b-4b9c-8fef-c58b9d32d081))
(pin "17" (uuid afadbb58-0442-40e3-ad8a-5326e3c75c45))
(pin "18" (uuid c73634ba-f588-4fbf-9260-6d6fcbcfd6d1))
(pin "2" (uuid a23cb9fd-78d3-41c2-b748-593b71d0fdfe))
(pin "3" (uuid 6375c3e0-a56d-46e8-a2f7-d6511e7821f5))
(pin "4" (uuid f1119481-94ea-4ea2-a254-e552d2f358c5))
(pin "5" (uuid febd74c5-2dfd-4b32-93c1-87b23c11cce8))
(pin "6" (uuid 6ad28e53-1643-41dd-a8ed-c64c094b60ae))
(pin "7" (uuid bc669ba8-82cf-4423-95f7-591e1988911c))
(pin "8" (uuid 2cc07162-11c9-49fe-82f2-b0aa2bdd110d))
(pin "9" (uuid 8fa00bab-2a60-4b14-84e8-79a2d1d1ae0d))
)
(symbol (lib_id "Connector_Generic:Conn_01x18") (at 101.6 101.6 180) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 89c9640c-0aba-416f-afe1-240cf3d6f905)
(property "Reference" "J1" (id 0) (at 101.6 76.3072 0))
(property "Value" "FlatFlex" (id 1) (at 101.6 76.3071 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Footprint" "s47-imported:GCT_FFC2B35-18-G_REVA2" (id 2) (at 101.6 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 101.6 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 86055b3c-71da-4d96-af2c-32f3cc18379b))
(pin "10" (uuid 07b27d68-e4cc-413c-8e29-ae120a67e5b2))
(pin "11" (uuid 8561053a-b8c5-4a85-b89a-f4d509d5b27b))
(pin "12" (uuid 62408428-256e-4343-bccc-9ef0520bee62))
(pin "13" (uuid 5e549b1c-3c26-4945-bc19-f960b3e42c86))
(pin "14" (uuid 1b818efc-2a9e-4a8a-ba46-e0eafe262505))
(pin "15" (uuid d4e3c7b4-215c-476f-b7b9-ae5d02cf5ab4))
(pin "16" (uuid a9fa70b0-bff3-4021-93bc-1e180a3665f8))
(pin "17" (uuid 3258a204-1860-42e2-829c-e858af8b9b9e))
(pin "18" (uuid 0bb4ecb4-f3bd-48b7-b64a-4d3e4bc03cc6))
(pin "2" (uuid 50fc45a0-fb6f-4a29-b4c6-38c6f0ae297e))
(pin "3" (uuid 2f323c31-f8b7-4df8-9460-e2090d937989))
(pin "4" (uuid 50b7f88e-d842-43c3-bea5-1bf383360f0f))
(pin "5" (uuid 830ed992-2aa0-4dee-880c-7555a34aec07))
(pin "6" (uuid 54ccf742-dd4d-4edd-a2db-60662fe377f1))
(pin "7" (uuid 06279c0f-e7f4-49f3-a9d1-a429050d489e))
(pin "8" (uuid ef9f1f90-1152-4b48-9970-ccf29dc7afd9))
(pin "9" (uuid dab9b931-c532-4119-92e1-a912ff8e4e5d))
)
(sheet_instances
(path "/" (page "1"))
)
(symbol_instances
(path "/89c9640c-0aba-416f-afe1-240cf3d6f905"
(reference "J1") (unit 1) (value "FlatFlex") (footprint "s47-imported:GCT_FFC2B35-18-G_REVA2")
)
(path "/63b17cb0-bb35-429a-89c4-404a2f061470"
(reference "J2") (unit 1) (value "Breakout") (footprint "Connector_PinHeader_2.54mm:PinHeader_2x09_P2.54mm_Vertical")
)
)
)