commit 5fe840dbee743d7850b2c1ab5952b3e3be17f5fd Author: Jakob Haufe Date: Sun Feb 19 21:17:21 2023 +0100 Initial commit diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..ada6d54 --- /dev/null +++ b/.gitignore @@ -0,0 +1,6 @@ +*.bak +*.kicad_pcb-bak +*.kicad_prl +*.kicad_sch-bak +*.net +fp-info-cache diff --git a/PC104-ISA-Card.kicad_pcb b/PC104-ISA-Card.kicad_pcb new file mode 100644 index 0000000..3bc5ea4 --- /dev/null +++ b/PC104-ISA-Card.kicad_pcb @@ -0,0 +1,754 @@ +(kicad_pcb (version 20211014) (generator pcbnew) + + (general + (thickness 1.6) + ) + + (paper "A4") + (layers + (0 "F.Cu" signal) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + (50 "User.1" user) + (51 "User.2" user) + (52 "User.3" user) + (53 "User.4" user) + (54 "User.5" user) + (55 "User.6" user) + (56 "User.7" user) + (57 "User.8" user) + (58 "User.9" user) + ) + + (setup + (pad_to_mask_clearance 0) + (grid_origin 45.96 157.54) + (pcbplotparams + (layerselection 0x00010fc_ffffffff) + (disableapertmacros false) + (usegerberextensions false) + (usegerberattributes true) + (usegerberadvancedattributes true) + (creategerberjobfile true) + (svguseinch false) + (svgprecision 6) + (excludeedgelayer true) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (dxfpolygonmode true) + (dxfimperialunits true) + (dxfusepcbnewfont true) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (sketchpadsonfab false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 1) + (scaleselection 1) + (outputdirectory "") + ) + ) + + (net 0 "") + (net 1 "GND") + (net 2 "+5V") + (net 3 "/IO{slash}~{IOCHK}") + (net 4 "/DB6{slash}SD6") + (net 5 "/DB5{slash}SD5") + (net 6 "/DB4{slash}SD4") + (net 7 "/DB3{slash}SD3") + (net 8 "unconnected-(J2-Pad8)") + (net 9 "/DB2{slash}SD2") + (net 10 "/DB1{slash}SD1") + (net 11 "/DB0{slash}SD0") + (net 12 "/IO_READY{slash}IOCHRDY") + (net 13 "/AEN") + (net 14 "/BA19{slash}SA19") + (net 15 "/BA18{slash}SA18") + (net 16 "/BA17{slash}SA17") + (net 17 "/BA16{slash}SA16") + (net 18 "/BA15{slash}SA15") + (net 19 "/BA14{slash}SA14") + (net 20 "/BA13{slash}SA13") + (net 21 "/BA12{slash}SA12") + (net 22 "/BA1{slash}SA1") + (net 23 "/BA10{slash}SA10") + (net 24 "/BA9{slash}SA9") + (net 25 "/BA8{slash}SA8") + (net 26 "/BA7{slash}SA7") + (net 27 "/BA6{slash}SA6") + (net 28 "/BA5{slash}SA5") + (net 29 "/BA4{slash}SA4") + (net 30 "/BA3{slash}SA3") + (net 31 "/DB7{slash}SD7") + (net 32 "/BA2{slash}SA2") + (net 33 "/BA0{slash}SA0") + (net 34 "/RESET") + (net 35 "/IRQ2{slash}IRQ9") + (net 36 "-5V") + (net 37 "/DRQ2") + (net 38 "-12V") + (net 39 "/~{SRDY}") + (net 40 "+12V") + (net 41 "/~{SMEMW}") + (net 42 "/~{SMEMR}") + (net 43 "/~{IOW}") + (net 44 "/~{IOR}") + (net 45 "/~{DACK3}") + (net 46 "/DRQ3") + (net 47 "/~{DACK1}") + (net 48 "/DRQ1") + (net 49 "/~{REFRESH}") + (net 50 "/CLK{slash}BCLK") + (net 51 "/IRQ7") + (net 52 "/IRQ6") + (net 53 "/IRQ5") + (net 54 "/IRQ4") + (net 55 "/IRQ3") + (net 56 "/~{DACK2}") + (net 57 "/TC") + (net 58 "/ALE{slash}BALE") + (net 59 "/OSC") + (net 60 "/SBHE{slash}~{SBHE}") + (net 61 "/LA23") + (net 62 "/LA22") + (net 63 "/LA21") + (net 64 "/LA20") + (net 65 "/LA19") + (net 66 "/LA18") + (net 67 "/LA17") + (net 68 "/~{MEMR}") + (net 69 "/~{MEMW}") + (net 70 "/D8{slash}SD8") + (net 71 "/D9{slash}SD9") + (net 72 "/D10{slash}SD10") + (net 73 "/D11{slash}SD11") + (net 74 "/D12{slash}SD12") + (net 75 "/D13{slash}SD13") + (net 76 "/D14{slash}SD14") + (net 77 "/D15{slash}SD15") + (net 78 "/~{MEMCS16}") + (net 79 "/~{IOCS16}") + (net 80 "/IRQ10") + (net 81 "/IRQ11") + (net 82 "/IRQ12") + (net 83 "/IRQ15") + (net 84 "/IRQ14") + (net 85 "unconnected-(J1-PadB10)") + (net 86 "/~{DACK0}") + (net 87 "/DRQ0") + (net 88 "/~{DACK5}") + (net 89 "/DRQ5") + (net 90 "/~{DACK6}") + (net 91 "/DRQ6") + (net 92 "/~{DACK7}") + (net 93 "/DRQ7") + (net 94 "/MASTER{slash}~{MASTER}") + (net 95 "/BA11{slash}SA11") + (net 96 "unconnected-(J1-PadC19)") + + (footprint "MountingHole:MountingHole_3.2mm_M3_Pad_Via" (layer "F.Cu") + (tedit 56DDBCCA) (tstamp 3a499fe0-7b08-4a5b-8a81-884749d6afab) + (at 195.08 140.515) + (descr "Mounting Hole 3.2mm, M3") + (tags "mounting hole 3.2mm m3") + (property "Sheetfile" "PC104-ISA-Card.kicad_sch") + (property "Sheetname" "") + (path "/823f551e-5ebb-41a4-8610-0e7de0e60d50") + (attr exclude_from_pos_files) + (fp_text reference "H1" (at 0 -4.2) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5a6424d8-6894-4ed7-8dd3-4c43e49ebe20) + ) + (fp_text value "~" (at 0 4.2) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ef27c709-0899-4353-b499-4a0073a7167c) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 25976ed0-de14-43d1-b782-a15c4f79cbb7) + ) + (fp_circle (center 0 0) (end 3.2 0) (layer "Cmts.User") (width 0.15) (fill none) (tstamp 3b6bc1de-f9f4-40d2-b102-8bd40588a8f1)) + (fp_circle (center 0 0) (end 3.45 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 601e9652-95d6-41bd-b114-f1d3a3737f5d)) + (pad "1" thru_hole circle (at 2.4 0) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp 04c7adbf-47e5-4088-8cd6-b37af24a922e)) + (pad "1" thru_hole circle (at 1.697056 1.697056) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp 1bf68824-2d59-4e32-89da-e133febb13dc)) + (pad "1" thru_hole circle (at 0 0) (size 6.4 6.4) (drill 3.2) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp 2a36237c-1b0c-4474-8049-e51d3595f26b)) + (pad "1" thru_hole circle (at -1.697056 -1.697056) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp 5e9ad1fc-acd7-41ae-b38b-3c77813ff4e9)) + (pad "1" thru_hole circle (at 1.697056 -1.697056) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp 7341fb34-c28b-4fab-b366-ae60f523cfce)) + (pad "1" thru_hole circle (at -1.697056 1.697056) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp 9e0e1946-6b09-4a06-aeb8-334e79f7c872)) + (pad "1" thru_hole circle (at 0 -2.4) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp b16fa913-e1fe-4126-96a1-200bd24dae7f)) + (pad "1" thru_hole circle (at -2.4 0) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp f57ac015-ced2-4602-9f4e-e2e08f4bafa8)) + (pad "1" thru_hole circle (at 0 2.4) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp f819cd1a-bda9-43d6-b6f4-55bc574246d1)) + ) + + (footprint "MountingHole:MountingHole_3.2mm_M3_Pad_Via" (layer "F.Cu") + (tedit 56DDBCCA) (tstamp 9d06c3aa-1a87-48a0-b9bb-813f1c883080) + (at 195.08 84) + (descr "Mounting Hole 3.2mm, M3") + (tags "mounting hole 3.2mm m3") + (property "Sheetfile" "PC104-ISA-Card.kicad_sch") + (property "Sheetname" "") + (path "/c26d45b6-5321-4527-af60-c346f6a46694") + (attr exclude_from_pos_files) + (fp_text reference "H2" (at 0 -4.2) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c4aff25a-f775-4a72-906f-ad7a5ea9f703) + ) + (fp_text value "~" (at 0 4.2) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 40c7c3db-d9cd-4518-8ee7-7fa0ad32f4da) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 96384959-3baa-47b6-a874-ceb7f1e9ef5d) + ) + (fp_circle (center 0 0) (end 3.2 0) (layer "Cmts.User") (width 0.15) (fill none) (tstamp 7400d39e-747b-419f-857d-cd97cf449d44)) + (fp_circle (center 0 0) (end 3.45 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp bf7f97c1-dc99-4a5c-b186-6486cfe9881c)) + (pad "1" thru_hole circle (at -1.697056 1.697056) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp 1354127e-a556-42c6-92ee-9d112700c0eb)) + (pad "1" thru_hole circle (at 0 2.4) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp 3f8d41aa-0435-4476-9e70-8aa13dd03e13)) + (pad "1" thru_hole circle (at 1.697056 -1.697056) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp 57ca9fab-143a-4b62-ba5b-460ad3789c8e)) + (pad "1" thru_hole circle (at 0 -2.4) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp 8c69bc80-e8a6-4f28-8e61-1e6b4c7c65e8)) + (pad "1" thru_hole circle (at 2.4 0) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp 8e608574-d093-4d5b-9529-4c71b4d73bb0)) + (pad "1" thru_hole circle (at 0 0) (size 6.4 6.4) (drill 3.2) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp 9d761307-5da8-46f2-ba87-2d981451c142)) + (pad "1" thru_hole circle (at 1.697056 1.697056) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp d911885d-896a-4942-9762-de55fe9d04a8)) + (pad "1" thru_hole circle (at -1.697056 -1.697056) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp df2fd9a6-98e1-404f-9dac-74e23ae4ce0a)) + (pad "1" thru_hole circle (at -2.4 0) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp e7c7061a-b6eb-4fce-88f1-1b366b11669e)) + ) + + (footprint "Connector_PCBEdge:BUS_AT" (layer "F.Cu") + (tedit 5A1AAD44) (tstamp 9d39e09f-1561-42d4-b6b3-3e054fbe84c3) + (at 175.5 157.54) + (descr "AT ISA 16 bits Bus Edge Connector") + (tags "BUS ISA AT Edge connector") + (property "Sheetfile" "PC104-ISA-Card.kicad_sch") + (property "Sheetname" "") + (path "/ec309164-f7e0-4f37-8830-ab17092a5540") + (attr exclude_from_pos_files) + (fp_text reference "J2" (at -60.96 -6.99) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp bec9cedb-da9a-4bc2-a167-83dce3fe8ab2) + ) + (fp_text value "Bus_ISA_16bit" (at -81.91 -6.99) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 12b76c39-741e-4107-8322-de0246e26a3e) + ) + (fp_text user "${REFERENCE}" (at -64.77 -0.635) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c65f0543-e33c-49d7-b0a0-e2cfead49b93) + ) + (fp_line (start -132.15 3.85) (end -132.15 -5.1) (layer "F.SilkS") (width 0.12) (tstamp 0e1d99f3-8096-46bb-8c6f-ce0157aba2c1)) + (fp_line (start -83.75 3.85) (end -83.75 -3.75) (layer "F.SilkS") (width 0.12) (tstamp 1ee1fd18-b113-406a-afc3-84afc120a04e)) + (fp_line (start -78.8 -3.75) (end -78.8 3.85) (layer "F.SilkS") (width 0.12) (tstamp 7a80c29f-f245-481e-8897-095c27fc13fb)) + (fp_line (start -83.75 -3.75) (end -78.8 -3.75) (layer "F.SilkS") (width 0.12) (tstamp 952eb64e-5403-4b34-84c7-109e215487c1)) + (fp_line (start 2.6 3.85) (end 2.6 -5.1) (layer "F.SilkS") (width 0.12) (tstamp a172ec40-bd7a-4032-a449-f30ed1ea55bc)) + (fp_line (start 2.6 -5.1) (end 3 -5.1) (layer "F.SilkS") (width 0.12) (tstamp cbef2a4e-aa88-4999-95fc-7dc90fe05075)) + (fp_line (start -132.15 -5.1) (end -132.55 -5.1) (layer "F.SilkS") (width 0.12) (tstamp f0cfd8d6-22b7-4a1e-8073-5d3d7dfdd232)) + (fp_line (start 2.79 4.06) (end -132.33 4.06) (layer "F.CrtYd") (width 0.05) (tstamp 1f8639a3-9b13-499a-8036-7ad4bbfae957)) + (fp_line (start 2.79 4.06) (end 2.79 -5.33) (layer "F.CrtYd") (width 0.05) (tstamp 4ec4f068-ec8d-41b5-a359-4075adf1400c)) + (fp_line (start -132.33 -5.33) (end 2.79 -5.33) (layer "F.CrtYd") (width 0.05) (tstamp 86fab370-b2a1-45eb-b4ee-fa119f00a340)) + (fp_line (start -132.33 -5.33) (end -132.33 4.06) (layer "F.CrtYd") (width 0.05) (tstamp facf9f50-8880-4925-9166-13568e2996e8)) + (fp_line (start 2.54 -5.08) (end 2.54 3.81) (layer "F.Fab") (width 0.1) (tstamp 1443346b-61ea-4018-8f8d-11b97ab39f88)) + (fp_line (start -132.08 -5.08) (end 2.54 -5.08) (layer "F.Fab") (width 0.1) (tstamp 2965c2af-84f8-4ac0-b3c9-0aa8238070ae)) + (fp_line (start -83.82 3.81) (end -132.08 3.81) (layer "F.Fab") (width 0.1) (tstamp 2e01a68e-dcde-43c6-992e-e892516ee213)) + (fp_line (start -132.08 3.81) (end -132.08 -5.08) (layer "F.Fab") (width 0.1) (tstamp 4c15cf3c-01a1-47ef-8d8c-d1046f0cfbd4)) + (fp_line (start -78.74 -3.81) (end -83.82 -3.81) (layer "F.Fab") (width 0.1) (tstamp 50748475-75ef-41ab-94fd-ac6912bd0213)) + (fp_line (start -78.74 3.81) (end -78.74 -3.81) (layer "F.Fab") (width 0.1) (tstamp 55cad25e-7aca-4f0a-9120-1eee0344876d)) + (fp_line (start -83.82 -3.81) (end -83.82 3.81) (layer "F.Fab") (width 0.1) (tstamp 9385741b-48c5-44e9-912d-33c3f021e5a1)) + (fp_line (start 2.54 3.81) (end -78.74 3.81) (layer "F.Fab") (width 0.1) (tstamp bd3b84c2-0839-4199-81f3-7df71b56facd)) + (pad "1" connect rect (at 0 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 1 "GND") (pinfunction "GND") (pintype "power_out") (tstamp 34d39cda-8175-4585-9795-43572616d33d)) + (pad "2" connect rect (at -2.54 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 34 "/RESET") (pinfunction "RESET") (pintype "output") (tstamp bc0c6780-0a8d-47b7-b9b6-0da0768b33de)) + (pad "3" connect rect (at -5.08 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 2 "+5V") (pinfunction "+5V") (pintype "power_out") (tstamp 2fbffcd4-eb0a-45ac-9b6a-a24727e01a6e)) + (pad "4" connect rect (at -7.62 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 35 "/IRQ2{slash}IRQ9") (pinfunction "IRQ2") (pintype "passive") (tstamp 1c5c0e6c-2393-4fa8-b507-1d80e405c074)) + (pad "5" connect rect (at -10.16 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 36 "-5V") (pinfunction "-5V") (pintype "power_out") (tstamp 7c53e18f-848f-4781-b17e-6a3afe71bd0a)) + (pad "6" connect rect (at -12.7 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 37 "/DRQ2") (pinfunction "DRQ2") (pintype "passive") (tstamp cc1ba4d5-2399-4cc8-bd8b-2b3f6a1509d9)) + (pad "7" connect rect (at -15.24 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 38 "-12V") (pinfunction "-12V") (pintype "power_out") (tstamp 75b2e0ee-7bbb-434d-b709-833fa3b51bd1)) + (pad "8" connect rect (at -17.78 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 8 "unconnected-(J2-Pad8)") (pinfunction "UNUSED") (pintype "passive+no_connect") (tstamp 37796766-ed5e-44cc-ac29-f9e7cd7019f6)) + (pad "9" connect rect (at -20.32 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 40 "+12V") (pinfunction "+12V") (pintype "power_out") (tstamp 9c309b1c-93d6-4a07-ab10-04a1e51a4a54)) + (pad "10" connect rect (at -22.86 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 109d54f7-4553-41bf-a5b9-9688ab61165b)) + (pad "11" connect rect (at -25.4 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 41 "/~{SMEMW}") (pinfunction "~{SMEMW}") (pintype "output") (tstamp 7391f32f-f51e-41fc-94cb-2ad5678f09fe)) + (pad "12" connect rect (at -27.94 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 42 "/~{SMEMR}") (pinfunction "~{SMEMR}") (pintype "output") (tstamp 31a35471-1475-404c-88fe-f9858726a481)) + (pad "13" connect rect (at -30.48 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 43 "/~{IOW}") (pinfunction "~{IOW}") (pintype "output") (tstamp c62c2cb5-83f6-4923-b81f-d5aa480cf214)) + (pad "14" connect rect (at -33.02 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 44 "/~{IOR}") (pinfunction "~{IOR}") (pintype "output") (tstamp 630ffd6a-0518-49a3-8de2-e0945e165ebf)) + (pad "15" connect rect (at -35.56 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 45 "/~{DACK3}") (pinfunction "~{DACK3}") (pintype "passive") (tstamp 4b4d7514-543a-4a8c-8e57-49539063e015)) + (pad "16" connect rect (at -38.1 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 46 "/DRQ3") (pinfunction "DRQ3") (pintype "passive") (tstamp 8c9e02f6-9d4d-446d-98e5-fad8a4f60e31)) + (pad "17" connect rect (at -40.64 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 47 "/~{DACK1}") (pinfunction "~{DACK1}") (pintype "passive") (tstamp a08a247c-ffac-41e3-af9e-c3be0ebb40a3)) + (pad "18" connect rect (at -43.18 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 48 "/DRQ1") (pinfunction "DRQ1") (pintype "passive") (tstamp 3265de15-0edd-4ded-8edb-71e889437881)) + (pad "19" connect rect (at -45.72 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 49 "/~{REFRESH}") (pinfunction "~{REFRESH}") (pintype "passive") (tstamp 54413f63-d06b-4ba9-bbe2-24ffed0393ce)) + (pad "20" connect rect (at -48.26 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 50 "/CLK{slash}BCLK") (pinfunction "CLK") (pintype "output") (tstamp 37f9dc6c-9b98-463b-a21c-20f3ed341325)) + (pad "21" connect rect (at -50.8 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 51 "/IRQ7") (pinfunction "IRQ7") (pintype "passive") (tstamp e348f013-7fb4-47f7-adda-a9bcde5cedd1)) + (pad "22" connect rect (at -53.34 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 52 "/IRQ6") (pinfunction "IRQ6") (pintype "passive") (tstamp 2641d263-f09b-48eb-a410-717b8b7c140b)) + (pad "23" connect rect (at -55.88 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 53 "/IRQ5") (pinfunction "IRQ5") (pintype "passive") (tstamp 110318a9-5578-408b-a9fe-fdec89055a3d)) + (pad "24" connect rect (at -58.42 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 54 "/IRQ4") (pinfunction "IRQ4") (pintype "passive") (tstamp 115a4484-7736-49d0-ad27-4486df75b56b)) + (pad "25" connect rect (at -60.96 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 55 "/IRQ3") (pinfunction "IRQ3") (pintype "passive") (tstamp a09e2ad6-9011-408a-adaf-ade3f3773b61)) + (pad "26" connect rect (at -63.5 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 56 "/~{DACK2}") (pinfunction "~{DACK2}") (pintype "passive") (tstamp 66b408fa-2bae-423a-862e-4b1c268e4140)) + (pad "27" connect rect (at -66.04 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 57 "/TC") (pinfunction "TC") (pintype "passive") (tstamp 8951dd19-0d4b-423f-99af-d00616b2b383)) + (pad "28" connect rect (at -68.58 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 58 "/ALE{slash}BALE") (pinfunction "ALE") (pintype "output") (tstamp e5cfaeab-50f8-4ecf-91bd-8a35f0b31a47)) + (pad "29" connect rect (at -71.12 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 2 "+5V") (pinfunction "+5V") (pintype "power_in") (tstamp 39d05873-bdc1-40ad-856a-c009b44a8742)) + (pad "30" connect rect (at -73.66 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 59 "/OSC") (pinfunction "OSC") (pintype "output") (tstamp 5574c491-9ea8-4fa9-8da0-4d0e3f786f6a)) + (pad "31" connect rect (at -76.2 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 05a86ed6-27ac-45b2-9d78-65587d73fac7)) + (pad "32" connect rect (at 0 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 3 "/IO{slash}~{IOCHK}") (pinfunction "IO") (pintype "passive") (tstamp 9be7f679-ef65-4e86-bbd0-e9f84b405a70)) + (pad "33" connect rect (at -2.54 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 31 "/DB7{slash}SD7") (pinfunction "DB7") (pintype "tri_state") (tstamp b76ab239-cfaa-4c6b-930b-933b573e75c4)) + (pad "34" connect rect (at -5.08 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 4 "/DB6{slash}SD6") (pinfunction "DB6") (pintype "tri_state") (tstamp f8dadaf4-448b-4a2f-befd-aae52f4a2edb)) + (pad "35" connect rect (at -7.62 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 5 "/DB5{slash}SD5") (pinfunction "DB5") (pintype "tri_state") (tstamp 88766e81-04a3-4f2b-93f7-7d9ef70fc793)) + (pad "36" connect rect (at -10.16 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 6 "/DB4{slash}SD4") (pinfunction "DB4") (pintype "tri_state") (tstamp 4a1850c7-5217-4306-a0e2-ccdc84e04236)) + (pad "37" connect rect (at -12.7 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 7 "/DB3{slash}SD3") (pinfunction "DB3") (pintype "tri_state") (tstamp 96b2fd15-9f93-4076-8d95-132bed9804fa)) + (pad "38" connect rect (at -15.24 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 9 "/DB2{slash}SD2") (pinfunction "DB2") (pintype "tri_state") (tstamp 4d6c0c25-3f66-459d-8ed9-1ccc111f4a6e)) + (pad "39" connect rect (at -17.78 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 10 "/DB1{slash}SD1") (pinfunction "DB1") (pintype "tri_state") (tstamp d4ab9ab9-4bac-4485-b63b-8ca98a62a540)) + (pad "40" connect rect (at -20.32 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 11 "/DB0{slash}SD0") (pinfunction "DB0") (pintype "tri_state") (tstamp 54c1ae2c-d25d-402a-b018-a1b01f1aab71)) + (pad "41" connect rect (at -22.86 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 12 "/IO_READY{slash}IOCHRDY") (pinfunction "IO_READY") (pintype "passive") (tstamp 04ae7801-1613-4766-b6a9-04fd17aa5a7a)) + (pad "42" connect rect (at -25.4 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 13 "/AEN") (pinfunction "AEN") (pintype "output") (tstamp 4da2036e-361d-49ed-8135-ed4408292e1d)) + (pad "43" connect rect (at -27.94 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 14 "/BA19{slash}SA19") (pinfunction "BA19") (pintype "tri_state") (tstamp 49218bce-96bc-4379-9bd1-48c2a6474dc8)) + (pad "44" connect rect (at -30.48 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 15 "/BA18{slash}SA18") (pinfunction "BA18") (pintype "tri_state") (tstamp 0bfaf6e5-0af9-4c46-ac07-0d25211fac19)) + (pad "45" connect rect (at -33.02 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 16 "/BA17{slash}SA17") (pinfunction "BA17") (pintype "tri_state") (tstamp 9e257e1f-5257-49cc-8afa-59c2392a7d94)) + (pad "46" connect rect (at -35.56 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 17 "/BA16{slash}SA16") (pinfunction "BA16") (pintype "tri_state") (tstamp 2f77a73d-1d0c-4828-9a75-61eac2d8aa04)) + (pad "47" connect rect (at -38.1 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 18 "/BA15{slash}SA15") (pinfunction "BA15") (pintype "tri_state") (tstamp ea9880da-b35d-454f-8338-d37f9f2b2cd6)) + (pad "48" connect rect (at -40.64 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 19 "/BA14{slash}SA14") (pinfunction "BA14") (pintype "tri_state") (tstamp 0abdc3a9-0e90-4924-8bd0-c70245de6f96)) + (pad "49" connect rect (at -43.18 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 20 "/BA13{slash}SA13") (pinfunction "BA13") (pintype "tri_state") (tstamp 6894dd64-52dd-417c-becd-2aa9cc2e5b1d)) + (pad "50" connect rect (at -45.72 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 21 "/BA12{slash}SA12") (pinfunction "BA12") (pintype "tri_state") (tstamp 02768c58-91e1-4400-8e40-a6da2efb9d03)) + (pad "51" connect rect (at -48.26 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 95 "/BA11{slash}SA11") (pinfunction "BA11") (pintype "tri_state") (tstamp 984cda66-ee8f-4c7b-a1be-d3d2ee037db5)) + (pad "52" connect rect (at -50.8 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 23 "/BA10{slash}SA10") (pinfunction "BA10") (pintype "tri_state") (tstamp 289039b1-7549-4abc-9c5e-7168d1d85280)) + (pad "53" connect rect (at -53.34 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 24 "/BA9{slash}SA9") (pinfunction "BA09") (pintype "tri_state") (tstamp 5e8d3966-7ae1-43b3-9834-697282cb751f)) + (pad "54" connect rect (at -55.88 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 25 "/BA8{slash}SA8") (pinfunction "BA08") (pintype "tri_state") (tstamp 6039d997-1be5-44ed-9ea0-2343b972af7d)) + (pad "55" connect rect (at -58.42 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 26 "/BA7{slash}SA7") (pinfunction "BA07") (pintype "tri_state") (tstamp 6fbb019a-b5df-4134-8558-f10b2d535201)) + (pad "56" connect rect (at -60.96 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 27 "/BA6{slash}SA6") (pinfunction "BA06") (pintype "tri_state") (tstamp ed2296a7-1b20-421d-8c02-2e73d61a901c)) + (pad "57" connect rect (at -63.5 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 28 "/BA5{slash}SA5") (pinfunction "BA05") (pintype "tri_state") (tstamp 3dd26789-7d5d-472c-bf0c-c0252039f7c4)) + (pad "58" connect rect (at -66.04 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 29 "/BA4{slash}SA4") (pinfunction "BA04") (pintype "tri_state") (tstamp 873d8702-3e1d-4bf9-89cc-414a58d44176)) + (pad "59" connect rect (at -68.58 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 30 "/BA3{slash}SA3") (pinfunction "BA03") (pintype "tri_state") (tstamp de8f9171-9b9e-4f82-88ef-010b86cc2547)) + (pad "60" connect rect (at -71.12 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 32 "/BA2{slash}SA2") (pinfunction "BA02") (pintype "tri_state") (tstamp 9389624b-1045-46ef-b08b-93e4e19045b6)) + (pad "61" connect rect (at -73.66 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 22 "/BA1{slash}SA1") (pinfunction "BA01") (pintype "tri_state") (tstamp 0c4e60b0-ba6f-4d53-acfc-7bb608adff4e)) + (pad "62" connect rect (at -76.2 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 33 "/BA0{slash}SA0") (pinfunction "BA00") (pintype "tri_state") (tstamp 8435d0a2-6e7b-4f07-80fc-023d26357f5d)) + (pad "63" connect rect (at -86.36 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 78 "/~{MEMCS16}") (pinfunction "~{MEMCS16}") (pintype "passive") (tstamp edf73270-6698-4d46-92ca-4522a3483818)) + (pad "64" connect rect (at -88.9 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 79 "/~{IOCS16}") (pinfunction "~{IOCS16}") (pintype "passive") (tstamp 51a6af1e-6bcf-43a6-b292-e973b8197f3c)) + (pad "65" connect rect (at -91.44 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 80 "/IRQ10") (pinfunction "IRQ10") (pintype "passive") (tstamp f95f7955-2f4f-46a6-879d-4ca4eb8609d2)) + (pad "66" connect rect (at -93.98 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 81 "/IRQ11") (pinfunction "IRQ11") (pintype "passive") (tstamp be34088c-1bdf-4867-97e8-95c601923f0d)) + (pad "67" connect rect (at -96.52 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 82 "/IRQ12") (pinfunction "IRQ12") (pintype "passive") (tstamp 9626d88c-e5a8-4fc6-b631-859964edf930)) + (pad "68" connect rect (at -99.06 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 83 "/IRQ15") (pinfunction "IRQ15") (pintype "passive") (tstamp 3ecb8807-747d-46af-8307-0ddaddc0cfeb)) + (pad "69" connect rect (at -101.6 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 84 "/IRQ14") (pinfunction "IRQ14") (pintype "passive") (tstamp a110159b-7655-44f1-9a10-b538a48bd5c4)) + (pad "70" connect rect (at -104.14 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 86 "/~{DACK0}") (pinfunction "~{DACK0}") (pintype "output") (tstamp ad8414f3-eadf-489d-bc7e-7d92dd34dec3)) + (pad "71" connect rect (at -106.68 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 87 "/DRQ0") (pinfunction "DRQ0") (pintype "passive") (tstamp 085a10b2-6bf0-4591-87fb-2ca045630426)) + (pad "72" connect rect (at -109.22 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 88 "/~{DACK5}") (pinfunction "~{DACK5}") (pintype "output") (tstamp 3edd3d81-4193-49fc-b89d-5505703ecf60)) + (pad "73" connect rect (at -111.76 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 89 "/DRQ5") (pinfunction "DRQ5") (pintype "passive") (tstamp d0b83d93-cb29-4388-a127-f4552ae662b1)) + (pad "74" connect rect (at -114.3 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 90 "/~{DACK6}") (pinfunction "~{DACK6}") (pintype "output") (tstamp f06a68c0-72cf-4029-8ec2-3691b2d2707c)) + (pad "75" connect rect (at -116.84 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 91 "/DRQ6") (pinfunction "DRQ6") (pintype "passive") (tstamp 07d4564a-52ac-4392-9c3c-c83fa8a74cfc)) + (pad "76" connect rect (at -119.38 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 92 "/~{DACK7}") (pinfunction "~{DACK7}") (pintype "output") (tstamp 2ee9518a-39db-4369-a17e-14ccc12752f1)) + (pad "77" connect rect (at -121.92 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 93 "/DRQ7") (pinfunction "DRQ7") (pintype "passive") (tstamp bed98032-c173-4cf0-ace0-180905871ce6)) + (pad "78" connect rect (at -124.46 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 2 "+5V") (pinfunction "+5V") (pintype "power_in") (tstamp 50a7b677-af59-4aec-88c8-33baf3bf9e78)) + (pad "79" connect rect (at -127 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 94 "/MASTER{slash}~{MASTER}") (pinfunction "MASTER") (pintype "passive") (tstamp 2a1e2de1-8611-401c-88c5-0f92254c1080)) + (pad "80" connect rect (at -129.54 0) (size 1.78 7.62) (layers "B.Cu" "B.Mask") + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 00824299-6632-4c10-9561-28c9ae8aff2b)) + (pad "81" connect rect (at -86.36 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 60 "/SBHE{slash}~{SBHE}") (pinfunction "SBHE") (pintype "passive") (tstamp d0211702-0bda-4142-a109-81ae4bb4023c)) + (pad "82" connect rect (at -88.9 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 61 "/LA23") (pinfunction "LA23") (pintype "passive") (tstamp 5efb04b1-96e1-481a-8559-162dbbe33938)) + (pad "83" connect rect (at -91.44 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 62 "/LA22") (pinfunction "LA22") (pintype "passive") (tstamp 1b8ba193-2331-4ec7-b309-aaafd1d3077c)) + (pad "84" connect rect (at -93.98 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 63 "/LA21") (pinfunction "LA21") (pintype "passive") (tstamp 54fb7513-e886-4bac-ac64-2157251efe3c)) + (pad "85" connect rect (at -96.52 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 64 "/LA20") (pinfunction "LA20") (pintype "passive") (tstamp ae7bc33b-c8a3-4660-9001-7b3b0e716866)) + (pad "86" connect rect (at -99.06 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 65 "/LA19") (pinfunction "LA19") (pintype "passive") (tstamp 52dcd2d8-079b-4051-aeec-f2176dc92dd1)) + (pad "87" connect rect (at -101.6 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 66 "/LA18") (pinfunction "LA18") (pintype "passive") (tstamp 76cb299c-78cc-49a6-a1a7-fe877c9f2b32)) + (pad "88" connect rect (at -104.14 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 67 "/LA17") (pinfunction "LA17") (pintype "passive") (tstamp 28852749-ed88-4cc2-9e79-85961d633677)) + (pad "89" connect rect (at -106.68 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 68 "/~{MEMR}") (pinfunction "~{MEMR}") (pintype "output") (tstamp a08d6434-767a-4ff4-8990-5d1db858cb38)) + (pad "90" connect rect (at -109.22 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 69 "/~{MEMW}") (pinfunction "~{MEMW}") (pintype "output") (tstamp e146a143-fd3e-41ac-a8ac-8cde6e4323ab)) + (pad "91" connect rect (at -111.76 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 70 "/D8{slash}SD8") (pinfunction "D8") (pintype "tri_state") (tstamp b5620212-ec69-47c2-ac0e-3af490655686)) + (pad "92" connect rect (at -114.3 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 71 "/D9{slash}SD9") (pinfunction "D9") (pintype "tri_state") (tstamp 2d101b09-3468-4d13-af63-ddcd24564649)) + (pad "93" connect rect (at -116.84 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 72 "/D10{slash}SD10") (pinfunction "D10") (pintype "tri_state") (tstamp 0790211c-1cd4-4800-b14c-198218e8c090)) + (pad "94" connect rect (at -119.38 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 73 "/D11{slash}SD11") (pinfunction "D11") (pintype "tri_state") (tstamp 499613f7-742b-451a-9721-b61fe7b6165c)) + (pad "95" connect rect (at -121.92 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 74 "/D12{slash}SD12") (pinfunction "D12") (pintype "tri_state") (tstamp c2289ea8-82e8-442a-8431-e0b9a4df08ef)) + (pad "96" connect rect (at -124.46 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 75 "/D13{slash}SD13") (pinfunction "D13") (pintype "tri_state") (tstamp fc8b9a4d-4e83-4656-91c3-fa196cf49828)) + (pad "97" connect rect (at -127 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 76 "/D14{slash}SD14") (pinfunction "D14") (pintype "tri_state") (tstamp 2c695294-e81d-4bde-bc2e-e0a63f409df5)) + (pad "98" connect rect (at -129.54 0) (size 1.78 7.62) (layers "F.Cu" "F.Mask") + (net 77 "/D15{slash}SD15") (pinfunction "D15") (pintype "tri_state") (tstamp ca379b0f-8303-43d9-a273-a4b617c7d735)) + ) + + (footprint "local:PC104_16bit" (layer "F.Cu") + (tedit 59FED5CC) (tstamp 9e75c62e-6908-4aa0-b316-1c9e1ce7ec3d) + (at 182.118 52.324 90) + (property "Sheetfile" "PC104-ISA-Card.kicad_sch") + (property "Sheetname" "") + (path "/25a50650-70b5-4cc3-9537-5ec09dc54111") + (attr through_hole) + (fp_text reference "J1" (at -11.43 -4.02 270) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 474006d0-815d-4860-8b6b-4677ad4595a4) + ) + (fp_text value "Bus_PC104_16bit" (at -11.43 -87.42 270) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c2ad128a-3c48-468e-a11b-41640e183a6e) + ) + (fp_text user "${REFERENCE}" (at -11.43 -45.72) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e582f9fe-50c5-4204-a71b-1bb8c815c830) + ) + (fp_line (start -95.89 -90.17) (end -95.89 0) (layer "F.SilkS") (width 0.12) (tstamp 20948ae5-d6cc-45e5-b91f-68fc29581135)) + (fp_line (start 0 0) (end 0 -90.17) (layer "F.SilkS") (width 0.12) (tstamp 305c6d66-5d1f-4bd5-a84d-28bbb660e1cc)) + (fp_line (start 0 0) (end -95.89 0) (layer "F.SilkS") (width 0.12) (tstamp 3be8d525-fca4-4dc8-915d-30e7f4ff0fb7)) + (fp_line (start 0 -90.17) (end -95.89 -90.17) (layer "F.SilkS") (width 0.12) (tstamp 81b8ebe5-0f98-4d09-815a-007831931bc0)) + (pad "" thru_hole circle (at -5.08 -5.08 90) (size 6.35 6.35) (drill 3.18) (layers *.Cu *.Mask) (tstamp 54a0ead2-2250-4598-b131-e53e8c9fe5fc)) + (pad "" thru_hole circle (at -5.08 -85.09 90) (size 6.35 6.35) (drill 3.18) (layers *.Cu *.Mask) (tstamp 7956e369-19b1-4e7c-94ba-8428e4dbd448)) + (pad "" thru_hole circle (at -90.81 -8.89 90) (size 6.35 6.35) (drill 3.18) (layers *.Cu *.Mask) (tstamp bb3defe4-605e-4f1a-92e8-d5f2b8255971)) + (pad "" thru_hole circle (at -90.81 -82.55 90) (size 6.35 6.35) (drill 3.18) (layers *.Cu *.Mask) (tstamp d5b76291-f829-4139-87d7-fd11c43b69fc)) + (pad "A1" thru_hole rect (at -10.16 -6.35 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 3 "/IO{slash}~{IOCHK}") (pinfunction "~{IOCHK}") (pintype "passive") (tstamp 3117c2a5-6fd4-4d0e-ad49-95f8927cca15)) + (pad "A2" thru_hole oval (at -10.16 -8.89 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 31 "/DB7{slash}SD7") (pinfunction "SD7") (pintype "tri_state") (tstamp 4acfdadd-c0c8-4e7f-bf96-53790c0c0162)) + (pad "A3" thru_hole oval (at -10.16 -11.43 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 4 "/DB6{slash}SD6") (pinfunction "SD6") (pintype "tri_state") (tstamp 3c07e7a9-d798-4757-9ed1-6129050051ed)) + (pad "A4" thru_hole oval (at -10.16 -13.97 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 5 "/DB5{slash}SD5") (pinfunction "SD5") (pintype "tri_state") (tstamp 50fb3d3f-a57f-4c1c-b1f0-d339e19d7369)) + (pad "A5" thru_hole oval (at -10.16 -16.51 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 6 "/DB4{slash}SD4") (pinfunction "SD4") (pintype "tri_state") (tstamp 71244247-d60c-49bb-8899-c1f53b8bf6eb)) + (pad "A6" thru_hole oval (at -10.16 -19.05 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 7 "/DB3{slash}SD3") (pinfunction "SD3") (pintype "tri_state") (tstamp 38a81611-46ab-42e2-b429-c7207d31e498)) + (pad "A7" thru_hole oval (at -10.16 -21.59 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 9 "/DB2{slash}SD2") (pinfunction "SD2") (pintype "tri_state") (tstamp fc52862c-ae89-4770-b597-9590c549df69)) + (pad "A8" thru_hole oval (at -10.16 -24.13 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 10 "/DB1{slash}SD1") (pinfunction "SD1") (pintype "tri_state") (tstamp 26bce092-52d9-4ed2-885a-07aab5ce939b)) + (pad "A9" thru_hole oval (at -10.16 -26.67 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 11 "/DB0{slash}SD0") (pinfunction "SD0") (pintype "tri_state") (tstamp 22876ba9-335c-40e4-ba77-48f866474693)) + (pad "A10" thru_hole oval (at -10.16 -29.21 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 12 "/IO_READY{slash}IOCHRDY") (pinfunction "IOCHRDY") (pintype "passive") (tstamp 67185bd8-5f1a-44a2-bfa9-545e1a2fdcb5)) + (pad "A11" thru_hole oval (at -10.16 -31.75 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 13 "/AEN") (pinfunction "AEN") (pintype "input") (tstamp cefbcf1e-7547-4d2d-ae67-b71896f38e47)) + (pad "A12" thru_hole oval (at -10.16 -34.29 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 14 "/BA19{slash}SA19") (pinfunction "SA19") (pintype "tri_state") (tstamp 359a3deb-f0aa-498d-b807-ad047cebbe9a)) + (pad "A13" thru_hole oval (at -10.16 -36.83 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 15 "/BA18{slash}SA18") (pinfunction "SA18") (pintype "tri_state") (tstamp acb0ac8b-12cb-4a3d-a3bd-407913eeb0df)) + (pad "A14" thru_hole oval (at -10.16 -39.37 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 16 "/BA17{slash}SA17") (pinfunction "SA17") (pintype "tri_state") (tstamp 1d1d1648-92f5-40b6-b11a-15213db7a6c3)) + (pad "A15" thru_hole oval (at -10.16 -41.91 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 17 "/BA16{slash}SA16") (pinfunction "SA16") (pintype "tri_state") (tstamp 02bb46ae-0404-4941-9fbc-5f8f396c2c3f)) + (pad "A16" thru_hole oval (at -10.16 -44.45 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 18 "/BA15{slash}SA15") (pinfunction "SA15") (pintype "tri_state") (tstamp 76898ad9-5630-41b7-9579-50dce724544d)) + (pad "A17" thru_hole oval (at -10.16 -46.99 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 19 "/BA14{slash}SA14") (pinfunction "SA14") (pintype "tri_state") (tstamp 2a944ae8-59cb-43a8-a8f2-3dfcae778b41)) + (pad "A18" thru_hole oval (at -10.16 -49.53 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 20 "/BA13{slash}SA13") (pinfunction "SA13") (pintype "tri_state") (tstamp 8483add8-0bf9-4ae5-9108-cdf9f1711b78)) + (pad "A19" thru_hole oval (at -10.16 -52.07 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 21 "/BA12{slash}SA12") (pinfunction "SA12") (pintype "tri_state") (tstamp f8e874e1-b184-4813-b0e5-16204b7eda98)) + (pad "A20" thru_hole oval (at -10.16 -54.61 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 22 "/BA1{slash}SA1") (pinfunction "SA1") (pintype "tri_state") (tstamp f86f49c5-b976-4cba-a70f-4528e8cbd6c6)) + (pad "A21" thru_hole oval (at -10.16 -57.15 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 23 "/BA10{slash}SA10") (pinfunction "SA10") (pintype "tri_state") (tstamp f4721eeb-f462-42a9-bde4-021159a08508)) + (pad "A22" thru_hole oval (at -10.16 -59.69 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 24 "/BA9{slash}SA9") (pinfunction "SA9") (pintype "tri_state") (tstamp 1eedde4b-140d-4605-a416-79cc3ca5199d)) + (pad "A23" thru_hole oval (at -10.16 -62.23 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 25 "/BA8{slash}SA8") (pinfunction "SA8") (pintype "tri_state") (tstamp 2ec81207-71c5-4643-bec7-73e0a77e5c8c)) + (pad "A24" thru_hole oval (at -10.16 -64.77 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 26 "/BA7{slash}SA7") (pinfunction "SA7") (pintype "tri_state") (tstamp 77831700-ccae-480c-95d6-3665391d1328)) + (pad "A25" thru_hole oval (at -10.16 -67.31 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 27 "/BA6{slash}SA6") (pinfunction "SA6") (pintype "tri_state") (tstamp b76fc1ca-7217-462f-90db-fcb797d020a5)) + (pad "A26" thru_hole oval (at -10.16 -69.85 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 28 "/BA5{slash}SA5") (pinfunction "SA5") (pintype "tri_state") (tstamp 72650901-9a2b-4783-92b8-940bff565e9c)) + (pad "A27" thru_hole oval (at -10.16 -72.39 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 29 "/BA4{slash}SA4") (pinfunction "SA4") (pintype "tri_state") (tstamp 748ffad8-d439-448f-8436-e2a294a43aba)) + (pad "A28" thru_hole oval (at -10.16 -74.93 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 30 "/BA3{slash}SA3") (pinfunction "SA3") (pintype "tri_state") (tstamp a530abf6-abdb-49fc-90f9-ce9e70df58ea)) + (pad "A29" thru_hole oval (at -10.16 -77.47 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 32 "/BA2{slash}SA2") (pinfunction "SA2") (pintype "tri_state") (tstamp bf1e367b-41de-4da1-a25a-a86281ba82c9)) + (pad "A30" thru_hole oval (at -10.16 -80.01 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 9bc44710-f9a3-4cab-9395-3d0b3a49fbc1)) + (pad "A31" thru_hole oval (at -10.16 -82.55 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 33 "/BA0{slash}SA0") (pinfunction "SA0") (pintype "tri_state") (tstamp 0812a4d1-c035-41ba-86a9-ecb165eec642)) + (pad "A32" thru_hole oval (at -10.16 -85.09 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 9d6248f2-9f12-4ad8-981b-54981fd66f49)) + (pad "B1" thru_hole rect (at -12.7 -6.35 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp c2e1f756-9c8e-4e7f-928a-629643cc1a48)) + (pad "B2" thru_hole oval (at -12.7 -8.89 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 34 "/RESET") (pinfunction "RESET") (pintype "input") (tstamp d87c2d3b-e9c2-4add-a117-386a038588f3)) + (pad "B3" thru_hole oval (at -12.7 -11.43 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 2 "+5V") (pinfunction "+5V") (pintype "power_in") (tstamp dba42cba-9765-49dd-bea3-2933bf8f37c3)) + (pad "B4" thru_hole oval (at -12.7 -13.97 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 35 "/IRQ2{slash}IRQ9") (pinfunction "IRQ9") (pintype "passive") (tstamp c029acb5-f701-4308-abba-39fd4f6e19d0)) + (pad "B5" thru_hole oval (at -12.7 -16.51 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 36 "-5V") (pinfunction "-5V") (pintype "power_in") (tstamp 1b6e612a-a1d7-42e4-9381-fe61ba3094ec)) + (pad "B6" thru_hole oval (at -12.7 -19.05 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 37 "/DRQ2") (pinfunction "DRQ2") (pintype "passive") (tstamp 9df65164-4f41-4303-8968-24ec6cdbd727)) + (pad "B7" thru_hole oval (at -12.7 -21.59 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 38 "-12V") (pinfunction "-12V") (pintype "power_in") (tstamp c004247e-1a5e-4005-ba1b-353fac74e239)) + (pad "B8" thru_hole oval (at -12.7 -24.13 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 39 "/~{SRDY}") (pinfunction "~{SRDY}") (pintype "passive") (tstamp c05cc53e-2ba6-49fd-8e1d-96092f2ff8af)) + (pad "B9" thru_hole oval (at -12.7 -26.67 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 40 "+12V") (pinfunction "+12V") (pintype "power_in") (tstamp e00ea024-d641-426e-8703-f27d83785cc8)) + (pad "B10" thru_hole oval (at -12.7 -29.21 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 85 "unconnected-(J1-PadB10)") (pinfunction "KEY") (pintype "no_connect") (tstamp 0f033d65-1941-43d2-b657-4c5acc9dadfa)) + (pad "B11" thru_hole oval (at -12.7 -31.75 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 41 "/~{SMEMW}") (pinfunction "~{SMEMW}") (pintype "input") (tstamp 2d1b79e8-d775-41b7-9278-c0728a7d0d3d)) + (pad "B12" thru_hole oval (at -12.7 -34.29 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 42 "/~{SMEMR}") (pinfunction "~{SMEMR}") (pintype "input") (tstamp 12470320-56fc-4126-a88f-426a1f7302a7)) + (pad "B13" thru_hole oval (at -12.7 -36.83 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 43 "/~{IOW}") (pinfunction "~{IOW}") (pintype "input") (tstamp 870203a7-d434-4f27-a167-b2948e9d4767)) + (pad "B14" thru_hole oval (at -12.7 -39.37 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 44 "/~{IOR}") (pinfunction "~{IOR}") (pintype "input") (tstamp 9ae083a3-a55d-4153-afd8-52ff483ea8de)) + (pad "B15" thru_hole oval (at -12.7 -41.91 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 45 "/~{DACK3}") (pinfunction "~{DACK3}") (pintype "passive") (tstamp 6df47cd4-83ed-4f40-b38e-db6a64ff319f)) + (pad "B16" thru_hole oval (at -12.7 -44.45 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 46 "/DRQ3") (pinfunction "DRQ3") (pintype "passive") (tstamp 76ee0a69-3731-4cc8-b8f6-05fcdb256533)) + (pad "B17" thru_hole oval (at -12.7 -46.99 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 47 "/~{DACK1}") (pinfunction "~{DACK1}") (pintype "passive") (tstamp 73cb6f0f-1eda-44a8-9878-1550cf8c07fd)) + (pad "B18" thru_hole oval (at -12.7 -49.53 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 48 "/DRQ1") (pinfunction "DRQ1") (pintype "passive") (tstamp 715ac160-8899-44e2-9616-7a694d47f486)) + (pad "B19" thru_hole oval (at -12.7 -52.07 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 49 "/~{REFRESH}") (pinfunction "~{REFRESH}") (pintype "passive") (tstamp 21c17e42-7e2b-468f-8145-005fe0cd7f7c)) + (pad "B20" thru_hole oval (at -12.7 -54.61 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 50 "/CLK{slash}BCLK") (pinfunction "BCLK") (pintype "input") (tstamp 14f4d096-2831-4cd0-8eb2-14d99fce47a9)) + (pad "B21" thru_hole oval (at -12.7 -57.15 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 51 "/IRQ7") (pinfunction "IRQ7") (pintype "passive") (tstamp 7ba9f555-4465-4a26-a03e-f256844a8b18)) + (pad "B22" thru_hole oval (at -12.7 -59.69 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 52 "/IRQ6") (pinfunction "IRQ6") (pintype "passive") (tstamp 32387f89-f434-4029-ade9-814c11c53587)) + (pad "B23" thru_hole oval (at -12.7 -62.23 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 53 "/IRQ5") (pinfunction "IRQ5") (pintype "passive") (tstamp 33baea21-66fe-425b-b685-bd129eca3a54)) + (pad "B24" thru_hole oval (at -12.7 -64.77 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 54 "/IRQ4") (pinfunction "IRQ4") (pintype "passive") (tstamp 3e97825f-1c03-4874-b126-7f7ef174250f)) + (pad "B25" thru_hole oval (at -12.7 -67.31 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 55 "/IRQ3") (pinfunction "IRQ3") (pintype "passive") (tstamp 9cdb0a50-53e6-4181-811b-d2dba56b5ccd)) + (pad "B26" thru_hole oval (at -12.7 -69.85 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 56 "/~{DACK2}") (pinfunction "~{DACK2}") (pintype "passive") (tstamp ee0d01fe-84d1-4199-a89c-d5fb45922212)) + (pad "B27" thru_hole oval (at -12.7 -72.39 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 57 "/TC") (pinfunction "TC") (pintype "passive") (tstamp 4cf3b4aa-4c73-4c1d-99c9-27ef3a3a5a52)) + (pad "B28" thru_hole oval (at -12.7 -74.93 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 58 "/ALE{slash}BALE") (pinfunction "BALE") (pintype "input") (tstamp 047fb1ea-1cad-40e6-a79e-8dc6aaec11cf)) + (pad "B29" thru_hole oval (at -12.7 -77.47 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 2 "+5V") (pinfunction "+5V") (pintype "power_in") (tstamp 089d7f96-4d0d-490b-a558-0494a4fe3b42)) + (pad "B30" thru_hole oval (at -12.7 -80.01 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 59 "/OSC") (pinfunction "OSC") (pintype "input") (tstamp 61780f86-7606-413e-8d1a-609f7552b947)) + (pad "B31" thru_hole oval (at -12.7 -82.55 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 2fe3c237-6d6c-42ec-9f59-27475da55241)) + (pad "B32" thru_hole oval (at -12.7 -85.09 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 65d1803f-8937-4e6d-a190-0d1d6725cad0)) + (pad "C0" thru_hole oval (at -7.62 -26.67 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 019ccc36-b613-49c9-b638-40ab81097560)) + (pad "C1" thru_hole rect (at -7.62 -29.21 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 60 "/SBHE{slash}~{SBHE}") (pinfunction "~{SBHE}") (pintype "passive") (tstamp b277fbe9-7439-4802-8580-e6c937b7f3a0)) + (pad "C2" thru_hole oval (at -7.62 -31.75 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 61 "/LA23") (pinfunction "LA23") (pintype "passive") (tstamp 878513ec-a0bf-423e-90a0-55034280e12b)) + (pad "C3" thru_hole oval (at -7.62 -34.29 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 62 "/LA22") (pinfunction "LA22") (pintype "passive") (tstamp 169fb433-f85f-4fe4-9369-df2ac01417b5)) + (pad "C4" thru_hole oval (at -7.62 -36.83 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 63 "/LA21") (pinfunction "LA21") (pintype "passive") (tstamp 6d1bc863-25f3-499e-8d2b-b90a7aae3452)) + (pad "C5" thru_hole oval (at -7.62 -39.37 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 64 "/LA20") (pinfunction "LA20") (pintype "passive") (tstamp 2de74893-65a6-44ea-ab06-be9fd06bf33a)) + (pad "C6" thru_hole oval (at -7.62 -41.91 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 65 "/LA19") (pinfunction "LA19") (pintype "passive") (tstamp 52111774-082d-45c1-ac76-656835698e27)) + (pad "C7" thru_hole oval (at -7.62 -44.45 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 66 "/LA18") (pinfunction "LA18") (pintype "passive") (tstamp 00bee26f-4c97-423d-9798-3e23f2a15fa1)) + (pad "C8" thru_hole oval (at -7.62 -46.99 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 67 "/LA17") (pinfunction "LA17") (pintype "passive") (tstamp 75ec3f12-330c-49e7-84bf-60e7b9d3d9b6)) + (pad "C9" thru_hole oval (at -7.62 -49.53 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 68 "/~{MEMR}") (pinfunction "~{MEMR}") (pintype "input") (tstamp 656c2b44-b7ad-49e5-969d-c21160871189)) + (pad "C10" thru_hole oval (at -7.62 -52.07 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 69 "/~{MEMW}") (pinfunction "~{MEMW}") (pintype "input") (tstamp a48c9f4f-410d-4db3-b206-1c72eb10a6b4)) + (pad "C11" thru_hole oval (at -7.62 -54.61 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 70 "/D8{slash}SD8") (pinfunction "SD8") (pintype "tri_state") (tstamp dc2df06a-f140-4502-9917-97cad9702b2c)) + (pad "C12" thru_hole oval (at -7.62 -57.15 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 71 "/D9{slash}SD9") (pinfunction "SD9") (pintype "tri_state") (tstamp 7993edb9-b958-46be-97fb-9c941acac180)) + (pad "C13" thru_hole oval (at -7.62 -59.69 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 72 "/D10{slash}SD10") (pinfunction "SD10") (pintype "tri_state") (tstamp 056c7175-7f2c-4068-a787-a963707b96ba)) + (pad "C14" thru_hole oval (at -7.62 -62.23 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 73 "/D11{slash}SD11") (pinfunction "SD11") (pintype "tri_state") (tstamp 39cd16ee-82dd-4860-a8bf-b08efa9cca92)) + (pad "C15" thru_hole oval (at -7.62 -64.77 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 74 "/D12{slash}SD12") (pinfunction "SD12") (pintype "tri_state") (tstamp 66eef196-4703-46a2-8791-6f5dbb9b87b1)) + (pad "C16" thru_hole oval (at -7.62 -67.31 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 75 "/D13{slash}SD13") (pinfunction "SD13") (pintype "tri_state") (tstamp 53919e7a-967e-46c0-ac3a-8af29194a249)) + (pad "C17" thru_hole oval (at -7.62 -69.85 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 76 "/D14{slash}SD14") (pinfunction "SD14") (pintype "tri_state") (tstamp 7225c97d-cc33-4a66-ad49-f6300f876619)) + (pad "C18" thru_hole oval (at -7.62 -72.39 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 77 "/D15{slash}SD15") (pinfunction "SD15") (pintype "tri_state") (tstamp 8240a695-960b-42b9-9f60-2dbf8c1cc119)) + (pad "C19" thru_hole oval (at -7.62 -74.93 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 96 "unconnected-(J1-PadC19)") (pinfunction "KEY") (pintype "no_connect") (tstamp 43ccccfa-9a2e-43a5-8bb4-6a9ba769a7c8)) + (pad "D0" thru_hole oval (at -5.08 -26.67 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a7825c7d-38d5-4c5c-81b8-bb98991050c5)) + (pad "D1" thru_hole rect (at -5.08 -29.21 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 78 "/~{MEMCS16}") (pinfunction "~{MEMCS16}") (pintype "passive") (tstamp 8a161ffd-d888-46cd-8fe0-889a3591d288)) + (pad "D2" thru_hole oval (at -5.08 -31.75 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 79 "/~{IOCS16}") (pinfunction "~{IOCS16}") (pintype "passive") (tstamp 57a41e6f-5080-463d-a6a4-06774941e6ed)) + (pad "D3" thru_hole oval (at -5.08 -34.29 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 80 "/IRQ10") (pinfunction "IRQ10") (pintype "passive") (tstamp dacaa040-4f16-4376-8661-8e1175ccff0d)) + (pad "D4" thru_hole oval (at -5.08 -36.83 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 81 "/IRQ11") (pinfunction "IRQ11") (pintype "passive") (tstamp f61cb276-b5ae-4af1-871e-bbe5e66901ad)) + (pad "D5" thru_hole oval (at -5.08 -39.37 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 82 "/IRQ12") (pinfunction "IRQ12") (pintype "passive") (tstamp 9b235321-ddf8-47d2-8e3a-ea7d82dc1c99)) + (pad "D6" thru_hole oval (at -5.08 -41.91 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 83 "/IRQ15") (pinfunction "IRQ15") (pintype "passive") (tstamp 843f23b8-50f9-44c6-b94b-4b4c2b21abba)) + (pad "D7" thru_hole oval (at -5.08 -44.45 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 84 "/IRQ14") (pinfunction "IRQ14") (pintype "passive") (tstamp b021df50-b074-4abf-a241-8e5d68be1cf7)) + (pad "D8" thru_hole oval (at -5.08 -46.99 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 86 "/~{DACK0}") (pinfunction "~{DACK0}") (pintype "input") (tstamp 77dfde6d-8412-40ef-ae55-9fc269887f50)) + (pad "D9" thru_hole oval (at -5.08 -49.53 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 87 "/DRQ0") (pinfunction "DRQ0") (pintype "passive") (tstamp d264cb50-8d83-4d5c-90f8-b8e54e3f345a)) + (pad "D10" thru_hole oval (at -5.08 -52.07 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 88 "/~{DACK5}") (pinfunction "~{DACK5}") (pintype "input") (tstamp 62a550db-248e-47aa-a9af-1416517b2a24)) + (pad "D11" thru_hole oval (at -5.08 -54.61 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 89 "/DRQ5") (pinfunction "DRQ5") (pintype "passive") (tstamp 5e66a043-b74f-4fad-a3c0-8045d9d8eb03)) + (pad "D12" thru_hole oval (at -5.08 -57.15 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 90 "/~{DACK6}") (pinfunction "~{DACK6}") (pintype "input") (tstamp a9d27b02-8f5f-4647-b999-0c3af6e8b59a)) + (pad "D13" thru_hole oval (at -5.08 -59.69 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 91 "/DRQ6") (pinfunction "DRQ6") (pintype "passive") (tstamp 86ff3f8c-6560-49b8-afda-051067d3ba17)) + (pad "D14" thru_hole oval (at -5.08 -62.23 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 92 "/~{DACK7}") (pinfunction "~{DACK7}") (pintype "input") (tstamp 6c76dea5-9673-4938-9395-51d4474618a3)) + (pad "D15" thru_hole oval (at -5.08 -64.77 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 93 "/DRQ7") (pinfunction "DRQ7") (pintype "passive") (tstamp 855bdf92-77f7-4152-8609-8a129434ef31)) + (pad "D16" thru_hole oval (at -5.08 -67.31 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 2 "+5V") (pinfunction "+5V") (pintype "power_in") (tstamp 74f67ea5-3354-4b0b-8bd8-65b7356cb95f)) + (pad "D17" thru_hole oval (at -5.08 -69.85 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 94 "/MASTER{slash}~{MASTER}") (pinfunction "~{MASTER}") (pintype "passive") (tstamp 5eed4390-f4d2-4b2c-b5b8-f31ec722c2aa)) + (pad "D18" thru_hole oval (at -5.08 -72.39 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 564b57b2-db8f-4bda-9e33-5c6502c07820)) + (pad "D19" thru_hole oval (at -5.08 -74.93 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp efac4d07-bf05-4319-a6a5-f5472cbd63ca)) + (model "${KIPRJMOD}/packages3D/c-1375795-01-h-3d.stp" + (offset (xyz -8.89 45.72 11.05)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (gr_rect (start 43.42 153.73) (end 178.04 161.35) (layer "B.Mask") (width 0.2) (fill solid) (tstamp cd36ec53-34d9-469b-ba6e-b97fc5f995ce)) + (gr_rect (start 43.42 153.73) (end 178.04 161.35) (layer "F.Mask") (width 0.2) (fill solid) (tstamp 29280284-9d31-48d3-bdb2-45696e2e5e1e)) + (gr_line (start 43.42 161.39) (end 91.68 161.39) (layer "Edge.Cuts") (width 0.15) (tstamp 0cb9aede-5cbd-4456-9fcc-35d329cd9719)) + (gr_line (start 96.76 161.39) (end 178.04 161.39) (layer "Edge.Cuts") (width 0.15) (tstamp 37e0513a-4f78-41c3-b29d-82a2789221f3)) + (gr_line (start 43.42 39.47) (end 43.42 161.39) (layer "Edge.Cuts") (width 0.15) (tstamp 650235dd-19af-4467-ac35-01144969cca8)) + (gr_line (start 199.63 152.5) (end 199.63 39.47) (layer "Edge.Cuts") (width 0.15) (tstamp 8b970349-55e5-4000-b9cf-57ed3d5c7b00)) + (gr_line (start 199.63 39.47) (end 43.42 39.47) (layer "Edge.Cuts") (width 0.15) (tstamp 8f88e5d0-4031-4a53-9142-2b93e9890a58)) + (gr_line (start 96.76 153.77) (end 96.76 161.39) (layer "Edge.Cuts") (width 0.15) (tstamp 9441a5b5-7bb7-4a84-86b7-546fc25d5cf2)) + (gr_line (start 178.04 161.39) (end 178.04 152.5) (layer "Edge.Cuts") (width 0.15) (tstamp 95fb2361-6cff-4a34-976d-d3a4e2d5442e)) + (gr_line (start 178.04 152.5) (end 199.63 152.5) (layer "Edge.Cuts") (width 0.15) (tstamp ab961fe2-82fc-40ef-a166-4c7b14f44ac2)) + (gr_line (start 91.68 161.39) (end 91.68 153.77) (layer "Edge.Cuts") (width 0.15) (tstamp d6b21bad-1f8f-4aef-a160-23268fa230dd)) + (gr_line (start 91.68 153.77) (end 96.76 153.77) (layer "Edge.Cuts") (width 0.15) (tstamp e2ad7671-b223-4f2c-a5ed-73889f1a9910)) + +) diff --git a/PC104-ISA-Card.kicad_pro b/PC104-ISA-Card.kicad_pro new file mode 100644 index 0000000..9ec4cae --- /dev/null +++ b/PC104-ISA-Card.kicad_pro @@ -0,0 +1,424 @@ +{ + "board": { + "design_settings": { + "defaults": { + "board_outline_line_width": 0.09999999999999999, + "copper_line_width": 0.19999999999999998, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.049999999999999996, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.09999999999999999, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.15, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 0.762, + "height": 1.524, + "width": 1.524 + }, + "silk_line_width": 0.15, + "silk_text_italic": false, + "silk_text_size_h": 1.0, + "silk_text_size_v": 1.0, + "silk_text_thickness": 0.15, + "silk_text_upright": false, + "zones": { + "45_degree_only": false, + "min_clearance": 0.508 + } + }, + "diff_pair_dimensions": [], + "drc_exclusions": [], + "meta": { + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "copper_edge_clearance": "error", + "courtyards_overlap": "error", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "footprint_type_mismatch": "error", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "error", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zone_has_empty_net": "error", + "zones_intersect": "error" + }, + "rules": { + "allow_blind_buried_vias": false, + "allow_microvias": false, + "max_error": 0.005, + "min_clearance": 0.0, + "min_copper_edge_clearance": 0.0, + "min_hole_clearance": 0.25, + "min_hole_to_hole": 0.25, + "min_microvia_diameter": 0.19999999999999998, + "min_microvia_drill": 0.09999999999999999, + "min_silk_clearance": 0.0, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.19999999999999998, + "min_via_annular_width": 0.049999999999999996, + "min_via_diameter": 0.39999999999999997, + "solder_mask_clearance": 0.0, + "solder_mask_min_width": 0.0, + "use_height_for_length_calcs": true + }, + "track_widths": [], + "via_dimensions": [], + "zones_allow_external_fillets": false, + "zones_use_no_outline": true + }, + "layer_presets": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_label_syntax": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [ + "local" + ], + "pinned_symbol_libs": [ + "local" + ] + }, + "meta": { + "filename": "PC104-ISA-Card.kicad_pro", + "version": 1 + }, + "net_settings": { + "classes": [ + { + "bus_width": 12.0, + "clearance": 0.2, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.25, + "via_diameter": 0.8, + "via_drill": 0.4, + "wire_width": 6.0 + } + ], + "meta": { + "version": 2 + }, + "net_colors": null + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "", + "specctra_dsn": "", + "step": "", + "vrml": "" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "annotate_start_num": 0, + "drawing": { + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.375, + "pin_symbol_size": 25.0, + "text_offset_ratio": 0.15 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "", + "ngspice": { + "fix_include_paths": true, + "fix_passive_vals": false, + "meta": { + "version": 0 + }, + "model_mode": 0, + "workbook_filename": "" + }, + "page_layout_descr_file": "", + "plot_directory": "", + "spice_adjust_passive_values": false, + "spice_external_command": "spice \"%I\"", + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "800b63b6-98c9-460f-8ece-4e41cdee2d84", + "" + ] + ], + "text_variables": {} +} diff --git a/PC104-ISA-Card.kicad_sch b/PC104-ISA-Card.kicad_sch new file mode 100644 index 0000000..7b5e5ed --- /dev/null +++ b/PC104-ISA-Card.kicad_sch @@ -0,0 +1,3429 @@ +(kicad_sch (version 20211123) (generator eeschema) + + (uuid 800b63b6-98c9-460f-8ece-4e41cdee2d84) + + (paper "A4") + + (lib_symbols + (symbol "Mechanical:MountingHole_Pad" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "H" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MountingHole_Pad" (id 1) (at 0 4.445 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "mounting hole" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Mounting Hole with connection" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "MountingHole*Pad*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MountingHole_Pad_0_1" + (circle (center 0 1.27) (radius 1.27) + (stroke (width 1.27) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "MountingHole_Pad_1_1" + (pin input line (at 0 -2.54 90) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "local:Bus_ISA_16bit" (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 67.945 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Bus_ISA_16bit" (id 1) (at 0 -65.405 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://en.wikipedia.org/wiki/Industry_Standard_Architecture" (id 3) (at -1.905 -68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "ISA" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "16-bit ISA-AT bus connector" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Bus_ISA_16bit_0_1" + (rectangle (start -15.24 -63.5) (end 15.24 66.04) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "Bus_ISA_16bit_1_1" + (pin power_out line (at -17.78 63.5 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 40.64 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 38.1 0) (length 2.54) + (name "~{SMEMW}" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 35.56 0) (length 2.54) + (name "~{SMEMR}" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 33.02 0) (length 2.54) + (name "~{IOW}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 30.48 0) (length 2.54) + (name "~{IOR}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 27.94 0) (length 2.54) + (name "~{DACK3}" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 25.4 0) (length 2.54) + (name "DRQ3" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 22.86 0) (length 2.54) + (name "~{DACK1}" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 20.32 0) (length 2.54) + (name "DRQ1" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 17.78 0) (length 2.54) + (name "~{REFRESH}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 60.96 0) (length 2.54) + (name "RESET" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 15.24 0) (length 2.54) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 12.7 0) (length 2.54) + (name "IRQ7" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 10.16 0) (length 2.54) + (name "IRQ6" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 7.62 0) (length 2.54) + (name "IRQ5" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 5.08 0) (length 2.54) + (name "IRQ4" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 2.54 0) (length 2.54) + (name "IRQ3" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 0 0) (length 2.54) + (name "~{DACK2}" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -2.54 0) (length 2.54) + (name "TC" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -5.08 0) (length 2.54) + (name "ALE" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 -7.62 0) (length 2.54) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -17.78 58.42 0) (length 2.54) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -10.16 0) (length 2.54) + (name "OSC" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 -12.7 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 63.5 180) (length 2.54) + (name "IO" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 60.96 180) (length 2.54) + (name "DB7" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 58.42 180) (length 2.54) + (name "DB6" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 55.88 180) (length 2.54) + (name "DB5" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 53.34 180) (length 2.54) + (name "DB4" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 50.8 180) (length 2.54) + (name "DB3" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 48.26 180) (length 2.54) + (name "DB2" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 45.72 180) (length 2.54) + (name "DB1" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 55.88 0) (length 2.54) + (name "IRQ2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 43.18 180) (length 2.54) + (name "DB0" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 40.64 180) (length 2.54) + (name "IO_READY" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 38.1 180) (length 2.54) + (name "AEN" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 35.56 180) (length 2.54) + (name "BA19" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 33.02 180) (length 2.54) + (name "BA18" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 30.48 180) (length 2.54) + (name "BA17" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 27.94 180) (length 2.54) + (name "BA16" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 25.4 180) (length 2.54) + (name "BA15" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 22.86 180) (length 2.54) + (name "BA14" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 20.32 180) (length 2.54) + (name "BA13" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -17.78 53.34 0) (length 2.54) + (name "-5V" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 17.78 180) (length 2.54) + (name "BA12" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 15.24 180) (length 2.54) + (name "BA11" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 12.7 180) (length 2.54) + (name "BA10" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 10.16 180) (length 2.54) + (name "BA09" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 7.62 180) (length 2.54) + (name "BA08" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 5.08 180) (length 2.54) + (name "BA07" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 2.54 180) (length 2.54) + (name "BA06" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 0 180) (length 2.54) + (name "BA05" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -2.54 180) (length 2.54) + (name "BA04" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -5.08 180) (length 2.54) + (name "BA03" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 50.8 0) (length 2.54) + (name "DRQ2" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -7.62 180) (length 2.54) + (name "BA02" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -10.16 180) (length 2.54) + (name "BA01" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -12.7 180) (length 2.54) + (name "BA00" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -17.78 0) (length 2.54) + (name "~{MEMCS16}" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -20.32 0) (length 2.54) + (name "~{IOCS16}" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -22.86 0) (length 2.54) + (name "IRQ10" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -25.4 0) (length 2.54) + (name "IRQ11" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -27.94 0) (length 2.54) + (name "IRQ12" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -30.48 0) (length 2.54) + (name "IRQ15" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -33.02 0) (length 2.54) + (name "IRQ14" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -17.78 48.26 0) (length 2.54) + (name "-12V" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -35.56 0) (length 2.54) + (name "~{DACK0}" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -38.1 0) (length 2.54) + (name "DRQ0" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -40.64 0) (length 2.54) + (name "~{DACK5}" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -43.18 0) (length 2.54) + (name "DRQ5" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -45.72 0) (length 2.54) + (name "~{DACK6}" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -48.26 0) (length 2.54) + (name "DRQ6" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -50.8 0) (length 2.54) + (name "~{DACK7}" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -53.34 0) (length 2.54) + (name "DRQ7" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 -55.88 0) (length 2.54) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -58.42 0) (length 2.54) + (name "MASTER" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 45.72 0) (length 2.54) + (name "UNUSED" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 -60.96 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -17.78 180) (length 2.54) + (name "SBHE" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -20.32 180) (length 2.54) + (name "LA23" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -22.86 180) (length 2.54) + (name "LA22" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -25.4 180) (length 2.54) + (name "LA21" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -27.94 180) (length 2.54) + (name "LA20" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -30.48 180) (length 2.54) + (name "LA19" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -33.02 180) (length 2.54) + (name "LA18" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -35.56 180) (length 2.54) + (name "LA17" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -38.1 180) (length 2.54) + (name "~{MEMR}" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -17.78 43.18 0) (length 2.54) + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -40.64 180) (length 2.54) + (name "~{MEMW}" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -43.18 180) (length 2.54) + (name "D8" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -45.72 180) (length 2.54) + (name "D9" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -48.26 180) (length 2.54) + (name "D10" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -50.8 180) (length 2.54) + (name "D11" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -53.34 180) (length 2.54) + (name "D12" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -55.88 180) (length 2.54) + (name "D13" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -58.42 180) (length 2.54) + (name "D14" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -60.96 180) (length 2.54) + (name "D15" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "local:Bus_PC104_16bit" (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 69.215 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Bus_PC104_16bit" (id 1) (at 0 1.27 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "local:PC104_16bit" (id 2) (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://pc104.org/wp-content/uploads/2015/02/PC104_Spec_v2_6.pdf" (id 3) (at 0.635 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "ISA PC/104" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "16-bit PC/104 connector" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Bus_PC104_16bit_0_0" + (pin power_in line (at -20.32 -13.97 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -13.97 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B32" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -20.32 -67.31 0) (length 5.08) + (name "KEY" (effects (font (size 1.27 1.27)))) + (number "C19" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -67.31 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "D19" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "Bus_PC104_16bit_0_1" + (rectangle (start -15.24 66.675) (end 15.24 -71.12) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "Bus_PC104_16bit_1_1" + (pin passive line (at -20.32 64.77 0) (length 5.08) + (name "~{IOCHK}" (effects (font (size 1.27 1.27)))) + (number "A1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 41.91 0) (length 5.08) + (name "IOCHRDY" (effects (font (size 1.27 1.27)))) + (number "A10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -20.32 39.37 0) (length 5.08) + (name "AEN" (effects (font (size 1.27 1.27)))) + (number "A11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 36.83 0) (length 5.08) + (name "SA19" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 34.29 0) (length 5.08) + (name "SA18" (effects (font (size 1.27 1.27)))) + (number "A13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 31.75 0) (length 5.08) + (name "SA17" (effects (font (size 1.27 1.27)))) + (number "A14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 29.21 0) (length 5.08) + (name "SA16" (effects (font (size 1.27 1.27)))) + (number "A15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 26.67 0) (length 5.08) + (name "SA15" (effects (font (size 1.27 1.27)))) + (number "A16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 24.13 0) (length 5.08) + (name "SA14" (effects (font (size 1.27 1.27)))) + (number "A17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 21.59 0) (length 5.08) + (name "SA13" (effects (font (size 1.27 1.27)))) + (number "A18" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 19.05 0) (length 5.08) + (name "SA12" (effects (font (size 1.27 1.27)))) + (number "A19" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 62.23 0) (length 5.08) + (name "SD7" (effects (font (size 1.27 1.27)))) + (number "A2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -8.89 0) (length 5.08) + (name "SA1" (effects (font (size 1.27 1.27)))) + (number "A20" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 16.51 0) (length 5.08) + (name "SA11" (effects (font (size 1.27 1.27)))) + (number "A20" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 13.97 0) (length 5.08) + (name "SA10" (effects (font (size 1.27 1.27)))) + (number "A21" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 11.43 0) (length 5.08) + (name "SA9" (effects (font (size 1.27 1.27)))) + (number "A22" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 8.89 0) (length 5.08) + (name "SA8" (effects (font (size 1.27 1.27)))) + (number "A23" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 6.35 0) (length 5.08) + (name "SA7" (effects (font (size 1.27 1.27)))) + (number "A24" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 3.81 0) (length 5.08) + (name "SA6" (effects (font (size 1.27 1.27)))) + (number "A25" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 1.27 0) (length 5.08) + (name "SA5" (effects (font (size 1.27 1.27)))) + (number "A26" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -1.27 0) (length 5.08) + (name "SA4" (effects (font (size 1.27 1.27)))) + (number "A27" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -3.81 0) (length 5.08) + (name "SA3" (effects (font (size 1.27 1.27)))) + (number "A28" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -6.35 0) (length 5.08) + (name "SA2" (effects (font (size 1.27 1.27)))) + (number "A29" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 59.69 0) (length 5.08) + (name "SD6" (effects (font (size 1.27 1.27)))) + (number "A3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -11.43 0) (length 5.08) + (name "SA0" (effects (font (size 1.27 1.27)))) + (number "A31" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 57.15 0) (length 5.08) + (name "SD5" (effects (font (size 1.27 1.27)))) + (number "A4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 54.61 0) (length 5.08) + (name "SD4" (effects (font (size 1.27 1.27)))) + (number "A5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 52.07 0) (length 5.08) + (name "SD3" (effects (font (size 1.27 1.27)))) + (number "A6" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 49.53 0) (length 5.08) + (name "SD2" (effects (font (size 1.27 1.27)))) + (number "A7" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 46.99 0) (length 5.08) + (name "SD1" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 44.45 0) (length 5.08) + (name "SD0" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 64.77 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B1" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 20.32 41.91 180) (length 5.08) + (name "KEY" (effects (font (size 1.27 1.27)))) + (number "B10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 39.37 180) (length 5.08) + (name "~{SMEMW}" (effects (font (size 1.27 1.27)))) + (number "B11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 36.83 180) (length 5.08) + (name "~{SMEMR}" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 34.29 180) (length 5.08) + (name "~{IOW}" (effects (font (size 1.27 1.27)))) + (number "B13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 31.75 180) (length 5.08) + (name "~{IOR}" (effects (font (size 1.27 1.27)))) + (number "B14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 29.21 180) (length 5.08) + (name "~{DACK3}" (effects (font (size 1.27 1.27)))) + (number "B15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 26.67 180) (length 5.08) + (name "DRQ3" (effects (font (size 1.27 1.27)))) + (number "B16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 24.13 180) (length 5.08) + (name "~{DACK1}" (effects (font (size 1.27 1.27)))) + (number "B17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 21.59 180) (length 5.08) + (name "DRQ1" (effects (font (size 1.27 1.27)))) + (number "B18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 19.05 180) (length 5.08) + (name "~{REFRESH}" (effects (font (size 1.27 1.27)))) + (number "B19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 62.23 180) (length 5.08) + (name "RESET" (effects (font (size 1.27 1.27)))) + (number "B2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 16.51 180) (length 5.08) + (name "BCLK" (effects (font (size 1.27 1.27)))) + (number "B20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 13.97 180) (length 5.08) + (name "IRQ7" (effects (font (size 1.27 1.27)))) + (number "B21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 11.43 180) (length 5.08) + (name "IRQ6" (effects (font (size 1.27 1.27)))) + (number "B22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 8.89 180) (length 5.08) + (name "IRQ5" (effects (font (size 1.27 1.27)))) + (number "B23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 6.35 180) (length 5.08) + (name "IRQ4" (effects (font (size 1.27 1.27)))) + (number "B24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 3.81 180) (length 5.08) + (name "IRQ3" (effects (font (size 1.27 1.27)))) + (number "B25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 1.27 180) (length 5.08) + (name "~{DACK2}" (effects (font (size 1.27 1.27)))) + (number "B26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -1.27 180) (length 5.08) + (name "TC" (effects (font (size 1.27 1.27)))) + (number "B27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -3.81 180) (length 5.08) + (name "BALE" (effects (font (size 1.27 1.27)))) + (number "B28" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -6.35 180) (length 5.08) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 59.69 180) (length 5.08) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -8.89 180) (length 5.08) + (name "OSC" (effects (font (size 1.27 1.27)))) + (number "B30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -11.43 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 57.15 180) (length 5.08) + (name "IRQ9" (effects (font (size 1.27 1.27)))) + (number "B4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 54.61 180) (length 5.08) + (name "-5V" (effects (font (size 1.27 1.27)))) + (number "B5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 52.07 180) (length 5.08) + (name "DRQ2" (effects (font (size 1.27 1.27)))) + (number "B6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 49.53 180) (length 5.08) + (name "-12V" (effects (font (size 1.27 1.27)))) + (number "B7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 46.99 180) (length 5.08) + (name "~{SRDY}" (effects (font (size 1.27 1.27)))) + (number "B8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 44.45 180) (length 5.08) + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "B9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -20.32 -19.05 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -21.59 0) (length 5.08) + (name "~{SBHE}" (effects (font (size 1.27 1.27)))) + (number "C1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -20.32 -44.45 0) (length 5.08) + (name "~{MEMW}" (effects (font (size 1.27 1.27)))) + (number "C10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -46.99 0) (length 5.08) + (name "SD8" (effects (font (size 1.27 1.27)))) + (number "C11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -49.53 0) (length 5.08) + (name "SD9" (effects (font (size 1.27 1.27)))) + (number "C12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -52.07 0) (length 5.08) + (name "SD10" (effects (font (size 1.27 1.27)))) + (number "C13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -54.61 0) (length 5.08) + (name "SD11" (effects (font (size 1.27 1.27)))) + (number "C14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -57.15 0) (length 5.08) + (name "SD12" (effects (font (size 1.27 1.27)))) + (number "C15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -59.69 0) (length 5.08) + (name "SD13" (effects (font (size 1.27 1.27)))) + (number "C16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -62.23 0) (length 5.08) + (name "SD14" (effects (font (size 1.27 1.27)))) + (number "C17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -64.77 0) (length 5.08) + (name "SD15" (effects (font (size 1.27 1.27)))) + (number "C18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -24.13 0) (length 5.08) + (name "LA23" (effects (font (size 1.27 1.27)))) + (number "C2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -26.67 0) (length 5.08) + (name "LA22" (effects (font (size 1.27 1.27)))) + (number "C3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -29.21 0) (length 5.08) + (name "LA21" (effects (font (size 1.27 1.27)))) + (number "C4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -31.75 0) (length 5.08) + (name "LA20" (effects (font (size 1.27 1.27)))) + (number "C5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -34.29 0) (length 5.08) + (name "LA19" (effects (font (size 1.27 1.27)))) + (number "C6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -36.83 0) (length 5.08) + (name "LA18" (effects (font (size 1.27 1.27)))) + (number "C7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -39.37 0) (length 5.08) + (name "LA17" (effects (font (size 1.27 1.27)))) + (number "C8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -20.32 -41.91 0) (length 5.08) + (name "~{MEMR}" (effects (font (size 1.27 1.27)))) + (number "C9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -19.05 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "D0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -21.59 180) (length 5.08) + (name "~{MEMCS16}" (effects (font (size 1.27 1.27)))) + (number "D1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -44.45 180) (length 5.08) + (name "~{DACK5}" (effects (font (size 1.27 1.27)))) + (number "D10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -46.99 180) (length 5.08) + (name "DRQ5" (effects (font (size 1.27 1.27)))) + (number "D11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -49.53 180) (length 5.08) + (name "~{DACK6}" (effects (font (size 1.27 1.27)))) + (number "D12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -52.07 180) (length 5.08) + (name "DRQ6" (effects (font (size 1.27 1.27)))) + (number "D13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -54.61 180) (length 5.08) + (name "~{DACK7}" (effects (font (size 1.27 1.27)))) + (number "D14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -57.15 180) (length 5.08) + (name "DRQ7" (effects (font (size 1.27 1.27)))) + (number "D15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -59.69 180) (length 5.08) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "D16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -62.23 180) (length 5.08) + (name "~{MASTER}" (effects (font (size 1.27 1.27)))) + (number "D17" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -64.77 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "D18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -24.13 180) (length 5.08) + (name "~{IOCS16}" (effects (font (size 1.27 1.27)))) + (number "D2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -26.67 180) (length 5.08) + (name "IRQ10" (effects (font (size 1.27 1.27)))) + (number "D3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -29.21 180) (length 5.08) + (name "IRQ11" (effects (font (size 1.27 1.27)))) + (number "D4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -31.75 180) (length 5.08) + (name "IRQ12" (effects (font (size 1.27 1.27)))) + (number "D5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -34.29 180) (length 5.08) + (name "IRQ15" (effects (font (size 1.27 1.27)))) + (number "D6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -36.83 180) (length 5.08) + (name "IRQ14" (effects (font (size 1.27 1.27)))) + (number "D7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -39.37 180) (length 5.08) + (name "~{DACK0}" (effects (font (size 1.27 1.27)))) + (number "D8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -41.91 180) (length 5.08) + (name "DRQ0" (effects (font (size 1.27 1.27)))) + (number "D9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+12V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+12V" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+12V\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+12V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+12V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+5V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:-12V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-12V" (id 1) (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"-12V\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "-12V_0_0" + (pin power_in line (at 0 0 90) (length 0) hide + (name "-12V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "-12V_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 1.27) + (xy 0.762 1.27) + (xy 0 2.54) + (xy -0.762 1.27) + (xy 0 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + ) + ) + (symbol "power:-5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-5V" (id 1) (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"-5V\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "-5V_0_0" + (pin power_in line (at 0 0 90) (length 0) hide + (name "-5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "-5V_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 1.27) + (xy 0.762 1.27) + (xy 0 2.54) + (xy -0.762 1.27) + (xy 0 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 187.325 101.6) (diameter 0) (color 0 0 0 0) + (uuid 00bbc83b-2141-48c5-9ccb-d3cc51cfc9f4) + ) + (junction (at 233.68 101.6) (diameter 0) (color 0 0 0 0) + (uuid 43398789-8a7f-4c2d-bbfb-508dcf00d00d) + ) + (junction (at 233.045 154.94) (diameter 0) (color 0 0 0 0) + (uuid 51f42ccd-d2a4-4b92-8024-69d1ec7c6243) + ) + + (no_connect (at 59.055 40.64) (uuid 7f4cd485-d9c4-4bf3-9257-ace2d7992f3b)) + + (wire (pts (xy 59.055 55.88) (xy 50.8 55.88)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 015773a9-05b9-4b89-997f-b5b72ff7ccdb) + ) + (wire (pts (xy 59.055 124.46) (xy 50.8 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 02143a00-81e5-4c5f-ac6d-e8c44277cc1a) + ) + (wire (pts (xy 106.68 55.88) (xy 94.615 55.88)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0390e89a-bee9-4d7d-ad75-1953623aa523) + ) + (wire (pts (xy 106.68 71.12) (xy 94.615 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 03f52979-1b07-4734-b1be-8d6b083788c9) + ) + (wire (pts (xy 177.8 58.42) (xy 189.865 58.42)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 04b817d2-0109-41ed-b7c1-2acbda950ede) + ) + (wire (pts (xy 59.055 83.82) (xy 53.34 83.82)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 05e4b3f2-4691-4657-9169-32b3c937db98) + ) + (wire (pts (xy 233.045 152.4) (xy 233.045 154.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 08416732-8ecc-4cf4-be3e-7a5a533ee670) + ) + (wire (pts (xy 233.68 101.6) (xy 230.505 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0c7f8331-1777-4089-924e-413d026866ad) + ) + (wire (pts (xy 59.055 111.76) (xy 51.435 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1033ad44-bbee-4324-b526-3fc1a0de4945) + ) + (wire (pts (xy 184.15 116.84) (xy 189.865 116.84)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 10885260-4f93-4cbf-bddd-9d2d986a91a2) + ) + (wire (pts (xy 43.815 33.02) (xy 59.055 33.02)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 10a4a631-f41a-401c-ab2c-c118a5d9a369) + ) + (wire (pts (xy 233.045 152.4) (xy 230.505 152.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 114aa5d8-5ddb-4986-88e8-270cc8eac6b3) + ) + (wire (pts (xy 236.855 101.6) (xy 233.68 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1180dc19-20ad-40fa-9d4d-55088856c669) + ) + (wire (pts (xy 59.055 96.52) (xy 54.61 96.52)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 11fc3274-70d5-4b81-bc9d-d2018c970bc1) + ) + (wire (pts (xy 94.615 45.72) (xy 114.3 45.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 12b7655f-5aca-4883-86d4-84c8ab850cfb) + ) + (wire (pts (xy 56.515 147.32) (xy 59.055 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 13b06df2-270d-43cf-a64c-d88e5681b508) + ) + (wire (pts (xy 104.775 30.48) (xy 94.615 30.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 13f1867d-54db-419d-9f92-4c82e461c087) + ) + (wire (pts (xy 189.865 144.78) (xy 177.8 144.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1461ce39-5daa-4b38-babe-a01c15254ae4) + ) + (wire (pts (xy 177.8 68.58) (xy 189.865 68.58)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1589f943-b5b5-46e0-a6b7-6d5588cb1729) + ) + (wire (pts (xy 106.68 63.5) (xy 94.615 63.5)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 17224fe6-1bda-4739-b711-3e6f18f1e22f) + ) + (wire (pts (xy 230.505 76.2) (xy 236.22 76.2)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1722c4fe-15c6-463f-9277-63559e270902) + ) + (wire (pts (xy 104.775 27.94) (xy 94.615 27.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1846c05f-658f-4725-9868-506518441188) + ) + (wire (pts (xy 177.8 91.44) (xy 189.865 91.44)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 185607ce-c7dc-4748-b03c-12ed6d9b9539) + ) + (wire (pts (xy 230.505 119.38) (xy 238.125 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 19320b1a-1e1b-4eaf-a41e-8e2159ca0bca) + ) + (wire (pts (xy 179.705 33.02) (xy 189.865 33.02)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 196fde35-64dc-4a37-b198-f5378c1d7993) + ) + (wire (pts (xy 230.505 30.48) (xy 241.3 30.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1aa1e695-de73-471f-be8d-f16ddffe2bdf) + ) + (wire (pts (xy 59.055 104.14) (xy 49.53 104.14)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1ac1aca4-e3ef-4ee2-8ee2-f5093f495782) + ) + (wire (pts (xy 230.505 132.08) (xy 238.125 132.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1aed3cbe-55c5-4696-8d94-2797c7f5e921) + ) + (wire (pts (xy 106.68 86.36) (xy 94.615 86.36)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1c6bdeec-57c4-4ec1-bb33-a4d71a733a43) + ) + (wire (pts (xy 59.055 25.4) (xy 52.07 25.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1cecf7d9-a69b-4e9c-b52f-adc5fcc41a78) + ) + (wire (pts (xy 59.055 114.3) (xy 51.435 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1f428118-b78f-4e79-8583-f97ba722adf8) + ) + (wire (pts (xy 187.325 101.6) (xy 187.325 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 203bc34f-3426-4c36-a9fd-4785840356ea) + ) + (wire (pts (xy 59.055 48.26) (xy 50.8 48.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 21c8da87-60e6-4285-a5ec-69d06c15c300) + ) + (wire (pts (xy 106.68 66.04) (xy 94.615 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 223de2c1-51dd-43cd-aec9-b50fa9b562e6) + ) + (wire (pts (xy 230.505 93.98) (xy 236.855 93.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 23d0f6c9-50ad-425f-992e-18877600b728) + ) + (wire (pts (xy 177.8 66.04) (xy 189.865 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 250faefe-0541-4e9b-bcf7-7aed13e19d16) + ) + (wire (pts (xy 106.68 76.2) (xy 94.615 76.2)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 25bffb3f-208c-48b7-9e51-808e8920b0cb) + ) + (wire (pts (xy 94.615 132.08) (xy 106.68 132.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 25c8ee5f-7f3d-4617-8023-ef2c70d5cacb) + ) + (wire (pts (xy 189.865 22.86) (xy 179.705 22.86)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 280e878e-1f69-4f03-a01a-24e98bbc8d7b) + ) + (wire (pts (xy 100.965 127) (xy 94.615 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 29549241-a710-49a5-bc64-b2a3d03f07f8) + ) + (wire (pts (xy 59.055 132.08) (xy 50.8 132.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2d044f30-9149-4234-a738-37de1cbe6657) + ) + (wire (pts (xy 106.68 91.44) (xy 94.615 91.44)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2d103adb-e17b-422d-835b-64a60771c289) + ) + (wire (pts (xy 177.8 88.9) (xy 189.865 88.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2dcbe6df-5612-4e4e-ada9-45a120585f46) + ) + (wire (pts (xy 233.68 99.06) (xy 230.505 99.06)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2e99a61b-9487-4049-abe8-531332bb1431) + ) + (wire (pts (xy 94.615 48.26) (xy 99.695 48.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2ed11f20-e07f-403b-892f-27e774fb8912) + ) + (wire (pts (xy 48.895 91.44) (xy 59.055 91.44)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 30bedf80-fbce-4b79-9844-2d455fe98802) + ) + (wire (pts (xy 230.505 137.16) (xy 238.125 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 32827cca-32c3-4e7f-8ae3-82e5768e9a85) + ) + (wire (pts (xy 230.505 53.34) (xy 238.76 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 328a3851-3740-44a6-95bb-958a5076d9df) + ) + (wire (pts (xy 104.775 38.1) (xy 94.615 38.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3335917c-86ad-478e-b86b-a90d865334b8) + ) + (wire (pts (xy 59.055 127) (xy 50.8 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 33c3819b-cf2e-4291-9b53-c553e0009502) + ) + (wire (pts (xy 177.8 63.5) (xy 189.865 63.5)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3761a0cf-48c3-497e-a948-d1503cb1596c) + ) + (wire (pts (xy 233.68 101.6) (xy 233.68 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3807f9ad-988a-4255-b6ce-2b5f6cd46164) + ) + (wire (pts (xy 106.68 73.66) (xy 94.615 73.66)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 38f30eb3-00b7-472d-9a24-4cd94e6aa295) + ) + (wire (pts (xy 179.705 40.64) (xy 189.865 40.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3a5cfe2c-4042-4056-9664-2a2218f5750c) + ) + (wire (pts (xy 94.615 129.54) (xy 106.68 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3d628d90-68ba-41ab-bc27-78dd7d9a6e97) + ) + (wire (pts (xy 59.055 139.7) (xy 50.8 139.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3f8beb12-0655-4039-993e-fe51cffa2251) + ) + (wire (pts (xy 50.8 58.42) (xy 59.055 58.42)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3ffc0b65-0c67-4dd9-80e1-b5b7926edcb3) + ) + (wire (pts (xy 59.055 119.38) (xy 51.435 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 41ca2d11-510b-439a-8d56-32a3f0b165eb) + ) + (wire (pts (xy 106.68 99.06) (xy 94.615 99.06)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 42576eb1-defc-4a52-8784-2dbdc0c39b39) + ) + (wire (pts (xy 176.53 109.22) (xy 189.865 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 425b04f6-5548-4766-a569-48b49d77ac1c) + ) + (wire (pts (xy 240.665 91.44) (xy 230.505 91.44)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 432208e1-fe7a-43b5-88e4-2786f4c7a684) + ) + (wire (pts (xy 177.8 99.06) (xy 189.865 99.06)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 43f3bc3b-3627-460d-8ecb-3be21574388e) + ) + (wire (pts (xy 177.8 55.88) (xy 189.865 55.88)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 46d84cbb-141e-478e-bbc2-5b52913b7a5a) + ) + (wire (pts (xy 100.33 114.3) (xy 94.615 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 471522ed-c490-4b78-9e7d-7bc2697fb20b) + ) + (wire (pts (xy 230.505 71.12) (xy 241.3 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 494c7e10-aaf3-491a-8252-75f0724f40e7) + ) + (wire (pts (xy 107.95 104.14) (xy 94.615 104.14)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4952e273-59c4-4b52-8642-16544543cacd) + ) + (wire (pts (xy 189.865 152.4) (xy 177.8 152.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 495895d3-60c1-4e47-917f-f392dee991fe) + ) + (wire (pts (xy 233.045 154.94) (xy 230.505 154.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 49fec8cf-339d-427c-bce0-c8387d26962b) + ) + (wire (pts (xy 106.68 50.8) (xy 94.615 50.8)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4a5b1e5b-e5c2-401e-ad8b-58967eb8f395) + ) + (wire (pts (xy 59.055 78.74) (xy 53.34 78.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4ac6179a-ea49-416b-b30c-8cfd496b5d58) + ) + (wire (pts (xy 244.475 22.86) (xy 230.505 22.86)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4b1b8f39-816b-46c1-9276-c8febdd8f394) + ) + (wire (pts (xy 230.505 25.4) (xy 237.49 25.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4f426928-8a08-4c49-836f-36efc6fb0eda) + ) + (wire (pts (xy 230.505 124.46) (xy 238.125 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 522c325f-a35e-4ae1-aedd-246ba3172be1) + ) + (wire (pts (xy 177.8 93.98) (xy 189.865 93.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5375ed59-5d54-4334-8b28-687262c0c711) + ) + (wire (pts (xy 59.055 144.78) (xy 42.545 144.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 54248963-e1c2-4eec-b2c8-bf63685c06b9) + ) + (wire (pts (xy 189.865 147.32) (xy 177.8 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5736c235-b953-48a9-b3ab-ee8da53677fc) + ) + (wire (pts (xy 179.705 38.1) (xy 189.865 38.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 58cd812c-7a50-42e7-bbae-e1e96feafdf7) + ) + (wire (pts (xy 233.68 88.9) (xy 230.505 88.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 58d1adde-d5cf-457d-b16a-e06fd172a511) + ) + (wire (pts (xy 94.615 139.7) (xy 106.68 139.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 59b84a6f-b4d1-4535-a167-cecb2a35a933) + ) + (wire (pts (xy 53.975 99.06) (xy 59.055 99.06)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5a47fc45-93d9-47b1-85c2-263c422498e1) + ) + (wire (pts (xy 106.68 83.82) (xy 94.615 83.82)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 616d2919-3319-4fba-b005-7671f8854ab8) + ) + (wire (pts (xy 179.705 35.56) (xy 189.865 35.56)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 64b6d97c-4d89-4b61-9926-43209462364b) + ) + (wire (pts (xy 230.505 116.84) (xy 238.125 116.84)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 651190c6-8091-4afd-8149-882fddde87c6) + ) + (wire (pts (xy 59.055 129.54) (xy 50.8 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 65cbf350-fd2a-4934-88bc-f35367a68166) + ) + (wire (pts (xy 177.8 96.52) (xy 189.865 96.52)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6945a2c8-eee0-45ff-9c64-c952c3e3ff40) + ) + (wire (pts (xy 127 177.8) (xy 127 184.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 69a8ce95-dbee-4e78-9283-f9c91323a737) + ) + (wire (pts (xy 184.15 111.76) (xy 189.865 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 69fb683e-5e0b-4c62-9227-b9019a7ba82b) + ) + (wire (pts (xy 189.865 134.62) (xy 177.8 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6c1ad2db-55bd-49a0-bca9-8a44dc8d7e91) + ) + (wire (pts (xy 177.8 71.12) (xy 189.865 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6ca1f78a-00ad-46f0-bdf5-a0f2b4e2874d) + ) + (wire (pts (xy 56.515 38.1) (xy 59.055 38.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6ea58dfb-c3a7-4c79-955e-5f35575f446e) + ) + (wire (pts (xy 43.815 27.94) (xy 59.055 27.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6edd6116-4f5e-45a1-934b-89dc9a9bb8a8) + ) + (wire (pts (xy 45.085 30.48) (xy 59.055 30.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7001b973-2eda-4ec7-88cd-9029410ad130) + ) + (wire (pts (xy 42.545 142.24) (xy 59.055 142.24)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 70366cb2-7de2-4e01-bbcd-73b1f3787e0a) + ) + (wire (pts (xy 230.505 48.26) (xy 238.76 48.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 70fe5e6b-9847-4405-9907-3a4d8ba584d1) + ) + (wire (pts (xy 238.76 66.04) (xy 230.505 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7102dc9c-1148-4291-b306-b56100af469e) + ) + (wire (pts (xy 189.865 149.86) (xy 177.8 149.86)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 717d52c3-7e01-48d7-9acc-d4849813abc2) + ) + (wire (pts (xy 100.33 111.76) (xy 94.615 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 724d88ac-cd8d-4efb-9bcb-8e551fe68b66) + ) + (wire (pts (xy 177.8 50.8) (xy 189.865 50.8)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7505a78e-2283-4805-b860-5f46b5819d3b) + ) + (wire (pts (xy 59.055 106.68) (xy 49.53 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 776018d8-4f36-4a3d-b792-76fb285ca702) + ) + (wire (pts (xy 55.88 43.18) (xy 59.055 43.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 77c2f8a6-8556-4384-ae46-8512e067a4fe) + ) + (wire (pts (xy 233.68 99.06) (xy 233.68 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7b6d99af-1b27-4233-85bf-bd5ee6933308) + ) + (wire (pts (xy 230.505 134.62) (xy 238.125 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7b853ace-c07c-4e4a-95d8-fc9aef7d07c4) + ) + (wire (pts (xy 230.505 142.24) (xy 238.125 142.24)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7bc35d0b-4d2e-424d-880d-b98e74cb2367) + ) + (wire (pts (xy 189.865 48.26) (xy 184.785 48.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7d52b5f1-18b9-430a-80e8-967dd8c3e729) + ) + (wire (pts (xy 50.8 121.92) (xy 59.055 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7f13e10f-ead9-4fd6-bc6c-d0d3fc9ab739) + ) + (wire (pts (xy 179.705 27.94) (xy 189.865 27.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7f32d68b-087c-4bbb-b3ff-b66b0d3d8398) + ) + (wire (pts (xy 179.705 30.48) (xy 189.865 30.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 82a809c6-d101-417b-b988-6846a0cb8f6a) + ) + (wire (pts (xy 50.8 63.5) (xy 59.055 63.5)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 865c42ea-2d83-454d-9a78-4bfdd0880b82) + ) + (wire (pts (xy 52.705 35.56) (xy 59.055 35.56)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 886925c8-af2c-4da1-a6e4-78dc4c140454) + ) + (wire (pts (xy 106.68 93.98) (xy 94.615 93.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8d9bddee-ff5d-458f-b7a3-80776bf03755) + ) + (wire (pts (xy 230.505 129.54) (xy 238.125 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8f6fda83-9d0c-4f81-b1c9-71402bd1888f) + ) + (wire (pts (xy 50.8 45.72) (xy 59.055 45.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8f8c0adc-0e96-4efc-9c42-5a085fe39311) + ) + (wire (pts (xy 59.055 50.8) (xy 50.8 50.8)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 906e7ba5-3a6a-47d4-9026-e2973ffdaf69) + ) + (wire (pts (xy 43.18 22.86) (xy 59.055 22.86)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 91137d0e-5d0c-4da5-b3f6-cb0879dca91b) + ) + (wire (pts (xy 106.68 78.74) (xy 94.615 78.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9232aeca-709f-4658-a541-5991be201c78) + ) + (wire (pts (xy 94.615 22.86) (xy 105.41 22.86)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 927da059-691b-4f19-b9ca-7fa38e6a038e) + ) + (wire (pts (xy 106.68 53.34) (xy 94.615 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 92861b53-b92d-47b7-afc9-d50b6f03625f) + ) + (wire (pts (xy 177.8 81.28) (xy 189.865 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 92c233ab-2589-4b81-9395-cfa4a75d9cdb) + ) + (wire (pts (xy 230.505 50.8) (xy 238.76 50.8)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9451458e-e2aa-4271-a5f4-cb535062c3ff) + ) + (wire (pts (xy 233.68 106.68) (xy 230.505 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 94608594-2e8c-42a4-a7c1-8660b4313273) + ) + (wire (pts (xy 230.505 73.66) (xy 236.22 73.66)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 94e04058-bc21-4796-870d-f6e50208a735) + ) + (wire (pts (xy 237.49 86.36) (xy 230.505 86.36)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9598c755-9dd0-49a5-8df5-ac32631fd525) + ) + (wire (pts (xy 94.615 134.62) (xy 106.68 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 988e052b-4b66-4d4d-bce8-4675062b6211) + ) + (wire (pts (xy 187.325 101.6) (xy 189.865 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 99811f17-a9fc-499e-a81f-dae194216bfe) + ) + (wire (pts (xy 230.505 127) (xy 238.125 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9a3f9403-0bd0-475c-81c3-29ad5b3aef9f) + ) + (wire (pts (xy 94.615 142.24) (xy 106.68 142.24)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9c9e31cc-2d4a-40b4-8492-55361b591c8d) + ) + (wire (pts (xy 184.15 127) (xy 189.865 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9d0ad6af-ad6c-42da-abe9-d69d4e4be560) + ) + (wire (pts (xy 52.07 86.36) (xy 59.055 86.36)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a08d8501-9dd2-4187-8fe4-3fa6a21ce351) + ) + (wire (pts (xy 59.055 81.28) (xy 53.34 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a2a7c50c-e60f-43bd-b672-15bf66caacb3) + ) + (wire (pts (xy 104.775 40.64) (xy 94.615 40.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a4d8dc72-1afc-4dc7-a395-619848da9a24) + ) + (wire (pts (xy 230.505 111.76) (xy 240.03 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a60e0865-784c-41f8-9213-b765a791be46) + ) + (wire (pts (xy 230.505 35.56) (xy 237.49 35.56)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a657e2d1-f393-4eec-a2a1-01397534cf9c) + ) + (wire (pts (xy 106.68 81.28) (xy 94.615 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a7e093a1-8430-4268-b885-6f75750340ed) + ) + (wire (pts (xy 104.775 35.56) (xy 94.615 35.56)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a82adab7-3eb7-4563-b91e-834893a04bc5) + ) + (wire (pts (xy 177.8 83.82) (xy 189.865 83.82)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a900ceb7-927b-47f5-9bc6-3d2cadc943f6) + ) + (wire (pts (xy 59.055 73.66) (xy 53.34 73.66)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a99a3284-8a14-44d3-83e1-014d49d7cedf) + ) + (wire (pts (xy 230.505 78.74) (xy 236.22 78.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a9e53c4d-560c-4fb3-b097-06880943cb9b) + ) + (wire (pts (xy 177.8 73.66) (xy 189.865 73.66)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid aa72fea0-c126-4bb6-918e-3db1c42b85d8) + ) + (wire (pts (xy 59.055 71.12) (xy 48.26 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid aaa07ff4-03b3-496c-b9c5-a002ee2301c6) + ) + (wire (pts (xy 50.8 60.96) (xy 59.055 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ab799299-0a89-4077-9d10-82c43e577438) + ) + (wire (pts (xy 139.7 177.8) (xy 139.7 184.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ac764e6c-da12-405e-bde6-b24216eca6cd) + ) + (wire (pts (xy 238.76 60.96) (xy 230.505 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ac90ef7f-8f01-4fd2-8122-6895569f7abd) + ) + (wire (pts (xy 230.505 121.92) (xy 238.125 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid acbe447f-ec55-4df3-9044-c3d5778d57fb) + ) + (wire (pts (xy 177.8 60.96) (xy 189.865 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ace233cb-e84e-4fb6-89dd-2377c19c2b01) + ) + (wire (pts (xy 230.505 149.86) (xy 247.015 149.86)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ae7d6d95-ce7b-4be6-a372-c823cb257891) + ) + (wire (pts (xy 100.33 119.38) (xy 94.615 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b0aa2868-aad6-4ffc-bc51-b690205c98ed) + ) + (wire (pts (xy 230.505 147.32) (xy 248.285 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b28efce4-1ed8-4bb6-934a-129dd8209a42) + ) + (wire (pts (xy 59.055 76.2) (xy 53.34 76.2)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b295d637-bf45-4a5c-a406-d1b9216c884c) + ) + (wire (pts (xy 189.865 142.24) (xy 177.8 142.24)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b3e3308a-e1e7-4595-b99e-a1afbf9a43f4) + ) + (wire (pts (xy 104.775 43.18) (xy 94.615 43.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b538b454-7926-4011-81c2-1000f0782638) + ) + (wire (pts (xy 100.965 124.46) (xy 94.615 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b63e9e8f-d6ac-4acf-abae-9515d5ff4b18) + ) + (wire (pts (xy 59.055 134.62) (xy 50.8 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b71bcfd0-6a94-48b8-9bf4-51223a48ea1f) + ) + (wire (pts (xy 100.33 106.68) (xy 94.615 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b71be84b-b0f9-4835-94e9-f1a19eabc679) + ) + (wire (pts (xy 230.505 139.7) (xy 238.125 139.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b854eded-a2cd-46dc-a683-bab0f84e8462) + ) + (wire (pts (xy 189.865 137.16) (xy 177.8 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b95a2523-5cca-4860-83f0-26251d517837) + ) + (wire (pts (xy 59.055 53.34) (xy 50.8 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b9bf52a1-7f41-4e17-a6ce-0af527e13168) + ) + (wire (pts (xy 230.505 96.52) (xy 234.95 96.52)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bb9a125e-4949-49ab-87d7-f2fa6a2e1bc0) + ) + (wire (pts (xy 230.505 109.22) (xy 240.03 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bbdb9efa-9251-4c8a-afba-57241919efd5) + ) + (wire (pts (xy 230.505 33.02) (xy 238.76 33.02)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bcb3a845-63a5-40ce-92cf-0a02741803d4) + ) + (wire (pts (xy 238.76 58.42) (xy 230.505 58.42)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bcf40bbb-129c-4f9a-803b-4847d34308d7) + ) + (wire (pts (xy 189.865 45.72) (xy 170.18 45.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bd9b89e8-de60-408d-b921-4a644185c5e7) + ) + (wire (pts (xy 104.775 33.02) (xy 94.615 33.02)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid beeb65ef-8572-4df1-941f-f7762337c84e) + ) + (wire (pts (xy 106.68 88.9) (xy 94.615 88.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c01c012f-0bbc-4823-9d1b-2e864e8975e6) + ) + (wire (pts (xy 235.585 154.94) (xy 233.045 154.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c33f56fb-9609-4cca-a0e5-c34df94f6fa4) + ) + (wire (pts (xy 230.505 27.94) (xy 244.475 27.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c3530b8d-40b6-494f-a1bd-85ad8c076d29) + ) + (wire (pts (xy 177.8 78.74) (xy 189.865 78.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c3750aa1-26c0-425f-ac80-9aef9860c109) + ) + (wire (pts (xy 94.615 147.32) (xy 106.68 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c4190ff7-8823-480f-b3ea-e0526f836a5b) + ) + (wire (pts (xy 177.8 53.34) (xy 189.865 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c8b64e0d-bdfe-434a-a18a-23fa0449c246) + ) + (wire (pts (xy 53.975 93.98) (xy 59.055 93.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c99656e1-a65c-4f6d-bdd6-2c2acb6af700) + ) + (wire (pts (xy 100.33 121.92) (xy 94.615 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cc08a84e-4e39-4d64-9324-f96424e01620) + ) + (wire (pts (xy 230.505 83.82) (xy 236.22 83.82)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cd664378-7eb9-46c4-9331-d529e9b36e81) + ) + (wire (pts (xy 59.055 109.22) (xy 51.435 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ceab1152-e21c-4a23-85c6-c23fdcbcf65c) + ) + (wire (pts (xy 106.68 96.52) (xy 94.615 96.52)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cf3ff819-3a85-4145-a468-247c69327c4e) + ) + (wire (pts (xy 183.515 132.08) (xy 189.865 132.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cfb963b7-de73-41e8-ac8d-3ebaf5ab2d80) + ) + (wire (pts (xy 230.505 81.28) (xy 236.22 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d0222bf0-44ac-4466-9f27-99d9ca05d9ab) + ) + (wire (pts (xy 94.615 25.4) (xy 104.775 25.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d06c715b-7019-4d78-b970-3747cae3527e) + ) + (wire (pts (xy 184.15 121.92) (xy 189.865 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d1d3468d-ec3a-426d-9da9-a5ee39beda3f) + ) + (wire (pts (xy 59.055 137.16) (xy 50.8 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d4366ffb-28c4-4dd7-bd22-2742683c8b49) + ) + (wire (pts (xy 184.15 114.3) (xy 189.865 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d5737559-918f-47c4-a34d-7a6e1f22862b) + ) + (wire (pts (xy 230.505 114.3) (xy 238.125 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d7fa2ce7-cae7-4a8c-90d3-fddd2fa684a6) + ) + (wire (pts (xy 49.53 68.58) (xy 59.055 68.58)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d8130635-b3c6-4b99-bcc5-af4b6200ad0f) + ) + (wire (pts (xy 240.03 68.58) (xy 230.505 68.58)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d8d89e4a-7273-44e7-b4dc-5cb4af254ffb) + ) + (wire (pts (xy 100.33 116.84) (xy 94.615 116.84)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d910c7d5-bb9f-4ea7-99b4-a5a63f9cdc38) + ) + (wire (pts (xy 94.615 137.16) (xy 106.68 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d92de56f-7f94-41df-9151-a01dde96e3ef) + ) + (wire (pts (xy 230.505 144.78) (xy 238.125 144.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid da9a4b42-0560-40a8-a741-dfa1b8f387c5) + ) + (wire (pts (xy 106.68 60.96) (xy 94.615 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dccbd8d6-5f82-4389-8535-ac4f659480e4) + ) + (wire (pts (xy 189.865 106.68) (xy 187.325 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e0d5300a-e9f5-4011-b474-68f5a65d77a7) + ) + (wire (pts (xy 59.055 116.84) (xy 51.435 116.84)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e1adfad9-9efd-4470-8126-133df5d1e614) + ) + (wire (pts (xy 230.505 40.64) (xy 237.49 40.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e2142fcb-2170-4f9a-b0d1-b94dd1a852e6) + ) + (wire (pts (xy 50.8 66.04) (xy 59.055 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e255bc96-5e32-4953-88f4-b257bb67895e) + ) + (wire (pts (xy 238.76 63.5) (xy 230.505 63.5)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e2f22e4a-e41f-4eb9-b486-d673c808064f) + ) + (wire (pts (xy 184.15 124.46) (xy 189.865 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e35d35c5-1133-42d2-92d8-cb1dead7c37d) + ) + (wire (pts (xy 189.865 139.7) (xy 177.8 139.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e3801d04-286d-454c-9d89-7df6ec6586d6) + ) + (wire (pts (xy 100.33 109.22) (xy 94.615 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e51abde9-d709-41f8-9548-7ea7e655fba4) + ) + (wire (pts (xy 106.68 68.58) (xy 94.615 68.58)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e87234c3-821e-4f07-b44b-e166e164fbaf) + ) + (wire (pts (xy 55.88 88.9) (xy 59.055 88.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e8e637fb-fa64-4cbc-b78d-029675b2b0f4) + ) + (wire (pts (xy 184.785 101.6) (xy 187.325 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e9def446-378a-42ee-bf62-e459c5bc0371) + ) + (wire (pts (xy 230.505 55.88) (xy 238.76 55.88)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ef82a2bc-e26c-47f3-b4ce-98597d7f8e46) + ) + (wire (pts (xy 106.68 58.42) (xy 94.615 58.42)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f0f5e43a-4ee4-4730-967f-98ed3681a71c) + ) + (wire (pts (xy 230.505 38.1) (xy 238.76 38.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f6e3eac8-7684-40a1-bb52-e06f29da458c) + ) + (wire (pts (xy 177.8 76.2) (xy 189.865 76.2)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f8981db4-6242-4499-8284-5cb92d6cbdd0) + ) + (wire (pts (xy 230.505 43.18) (xy 238.76 43.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f994e5c1-daa1-4a7b-8685-53a99e557193) + ) + (wire (pts (xy 94.615 144.78) (xy 106.68 144.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fa08147e-61ec-4da0-94c3-92d74a43df64) + ) + (wire (pts (xy 183.515 129.54) (xy 189.865 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fb16b07d-8bb2-42d0-a78c-d4d58f118fe1) + ) + (wire (pts (xy 179.705 25.4) (xy 189.865 25.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fd64bc1f-d9d6-4f82-bd0d-09e7976e7921) + ) + (wire (pts (xy 184.15 119.38) (xy 189.865 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fe1b9720-c1d7-4e6c-b05d-4db81fb6772c) + ) + (wire (pts (xy 179.705 43.18) (xy 189.865 43.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ff3a2a0d-3b82-43fb-8344-0dfae88770dd) + ) + (wire (pts (xy 177.8 86.36) (xy 189.865 86.36)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ffcfaed6-0335-4b43-916c-409c744ba41b) + ) + + (text "Net naming convention:\nIf signal names differ, ISA name comes first" + (at 22.86 193.04 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0b8bc36c-7302-4ae6-9647-aef4c625ba53) + ) + + (label "~{SMEMW}" (at 50.8 48.26 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 010f959b-8d6c-48ca-8d98-280478e25ef4) + ) + (label "~{DACK3" (at 50.8 58.42 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 04017591-802f-4530-bbd8-de38cf453b4b) + ) + (label "~{DACK1" (at 50.8 63.5 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 045306b4-a651-4cd3-bbba-e22ba2f27bbf) + ) + (label "~{SMEMR}" (at 50.8 50.8 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 04591738-355e-4d5d-82c4-9f43ab46b860) + ) + (label "RESET" (at 52.07 25.4 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 047a137a-99b6-41fd-90f3-38642e994353) + ) + (label "IRQ2{slash}IRQ9" (at 45.085 30.48 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 058611f6-86b1-4073-9019-6ed2c59bb6f8) + ) + (label "MASTER{slash}~{MASTER}" (at 42.545 144.78 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 08b3e68f-1702-4cd1-93fd-a7a98d957548) + ) + (label "DB0{slash}SD0" (at 179.705 43.18 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 099035d7-04ae-42fd-9942-a31822859b53) + ) + (label "D10{slash}SD10" (at 106.68 134.62 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 09c693e3-6846-4419-8569-88230b2b58bd) + ) + (label "D14{slash}SD14" (at 177.8 149.86 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0a2b5bfa-be7b-42e9-8c9a-712718f919a0) + ) + (label "DB1{slash}SD1" (at 179.705 40.64 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0a4242c1-39b2-41c7-82da-3efad0470c88) + ) + (label "DRQ6" (at 238.125 139.7 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0ad7aca1-3c37-4594-9be8-f0d01253a7a1) + ) + (label "BA1{slash}SA1" (at 106.68 96.52 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0b1f225a-7508-44ae-8465-f0fa26c33054) + ) + (label "LA21" (at 100.33 111.76 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0b8f7f82-8dd5-4137-95f9-db08c99eb9bf) + ) + (label "~{SMEMW}" (at 238.76 48.26 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0ca1f985-3799-468b-86a2-948a86bd9fd9) + ) + (label "ALE{slash}BALE" (at 48.895 91.44 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0e4dad85-a666-4e59-bdc7-9c95c2aee465) + ) + (label "IO_READY{slash}IOCHRDY" (at 114.3 45.72 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1083e4bd-5f1a-4244-ba12-e38ca8731781) + ) + (label "D8{slash}SD8" (at 177.8 134.62 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 13885fed-60de-4b74-b17c-96d03d5e3a36) + ) + (label "DB5{slash}SD5" (at 179.705 30.48 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 144d283d-d0e4-44eb-a3a8-3bc72190c58f) + ) + (label "~{IOR}" (at 50.8 55.88 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 165a30c5-8c54-4d2c-a867-e68547448d69) + ) + (label "D12{slash}SD12" (at 106.68 139.7 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 17357bd5-9828-4774-a771-5dcc2b33b87e) + ) + (label "~{DACK0" (at 238.125 127 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 17f30ebe-02e6-477f-8c3b-0cd8f4c22398) + ) + (label "LA21" (at 184.15 116.84 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 18b6e812-4944-4fdf-90ac-64c75ed064fd) + ) + (label "~{IOW}" (at 50.8 53.34 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1cef22d1-fb10-4a1f-baa2-1f88e7e2c521) + ) + (label "~{DACK2" (at 237.49 86.36 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1ef8873d-b47f-4573-8596-de379e27fd01) + ) + (label "DRQ7" (at 50.8 139.7 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 24314783-7fbc-4d70-9427-d949bf306890) + ) + (label "~{MEMW" (at 100.965 127 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 245df533-4ed4-46f8-b350-8ccd596c052b) + ) + (label "BA14{slash}SA14" (at 177.8 63.5 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 262535ac-a37d-4324-ae39-0029fa88c6b9) + ) + (label "BA12{slash}SA12" (at 177.8 68.58 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 278c3a60-7d78-4d02-a6b1-92da747df44d) + ) + (label "IRQ14" (at 51.435 119.38 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 28305563-4fed-4855-80dc-ecb67884c969) + ) + (label "IO_READY{slash}IOCHRDY" (at 170.18 45.72 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 287de39a-8e6c-4de0-8d3d-7e67ec745c4a) + ) + (label "~{DACK5" (at 238.125 132.08 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 28be6731-fab9-47b7-bd11-cc574bf22375) + ) + (label "BA8{slash}SA8" (at 106.68 78.74 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2a2bd46b-8c1a-4e13-941a-c0a02b34f26b) + ) + (label "DB4{slash}SD4" (at 179.705 33.02 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2a607ee6-0919-43a7-a5e8-14cae9d2045c) + ) + (label "~{IOR}" (at 238.76 55.88 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2c824087-146c-4a3d-8171-9e065cc9e734) + ) + (label "DRQ2" (at 237.49 35.56 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2ef75b00-1883-4b22-9026-adcc19239ea3) + ) + (label "BA16{slash}SA16" (at 106.68 58.42 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2f7832e7-2acf-4b33-947e-f431e3e8a48f) + ) + (label "D15{slash}SD15" (at 106.68 147.32 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2fd1f0ab-f195-472c-b378-b45ec8d429d3) + ) + (label "D9{slash}SD9" (at 177.8 137.16 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3019eb1c-6707-45e1-a60c-c40aaa687a14) + ) + (label "IRQ3" (at 236.22 83.82 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 30455294-99cb-4a21-b804-51e2909d2b04) + ) + (label "DRQ5" (at 238.125 134.62 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 30f481b1-3316-48bb-95d7-f1e2f615bab9) + ) + (label "BA3{slash}SA3" (at 177.8 91.44 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 319062d4-6a62-4d52-930f-c9d0fe36747a) + ) + (label "IRQ14" (at 238.125 124.46 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3224a7d3-0286-42f1-abce-945e28a8b420) + ) + (label "IO{slash}~{IOCHK}" (at 179.705 22.86 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 331e6c21-f8c3-4446-9d71-9e5bb3df61fa) + ) + (label "~{DACK1" (at 238.76 63.5 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 34d8449c-7e3c-4985-ba70-ad54a3e0843d) + ) + (label "IRQ15" (at 51.435 116.84 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 35bb0d69-3e0c-4583-a2d7-62dbf4f901fe) + ) + (label "~{SMEMR}" (at 238.76 50.8 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3664043f-7276-44b6-be9f-e6ec13824798) + ) + (label "TC" (at 233.68 88.9 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3744fde5-9634-41b4-a210-5d25b254f51d) + ) + (label "DB7{slash}SD7" (at 179.705 25.4 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 398bc425-4852-42a9-9461-c702b88c7033) + ) + (label "D12{slash}SD12" (at 177.8 144.78 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3cd953ce-1aab-41a7-96d7-273a409a1cdb) + ) + (label "BA15{slash}SA15" (at 177.8 60.96 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3fb0af61-8d08-4150-b8af-1e955c5a8504) + ) + (label "BA9{slash}SA9" (at 106.68 76.2 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 413f6ee9-a629-4dd3-83fa-2f008680343d) + ) + (label "DRQ3" (at 50.8 60.96 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 41fc2fe1-2a6f-428f-b65e-7c04c45b2622) + ) + (label "DB4{slash}SD4" (at 104.775 33.02 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 43b5d0d0-1ec6-4e57-beb4-d6d6176e5b7b) + ) + (label "~{DACK5" (at 50.8 127 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 453e52c1-b228-4bed-8e19-2359f441430a) + ) + (label "IRQ12" (at 238.125 119.38 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 453f242e-0730-40bc-967d-952c6fc6fdce) + ) + (label "~{IOCS16" (at 240.03 111.76 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 46ade2b2-7c99-41ee-ad9e-ca135d98f626) + ) + (label "BA7{slash}SA7" (at 106.68 81.28 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 47ba6c14-000b-4142-b09c-91a484e14102) + ) + (label "LA23" (at 184.15 111.76 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 48217453-4914-49c5-bcf0-e6009566d234) + ) + (label "BA11{slash}SA11" (at 106.68 71.12 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 485cef75-42c5-46f6-bd1e-5b939f6cea01) + ) + (label "DRQ2" (at 52.705 35.56 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 48b00fa4-60ff-4059-a29b-60d9abf1241f) + ) + (label "~{DACK2" (at 52.07 86.36 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 48f27f8c-6e10-41d8-91f6-562ef6dcd902) + ) + (label "IRQ3" (at 53.34 83.82 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4b10b74d-dcb1-45b1-bd04-7788c106e5a0) + ) + (label "BA9{slash}SA9" (at 177.8 76.2 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4bc5c1ac-07d3-409e-b0c5-d054174e1b22) + ) + (label "~{REFRESH" (at 49.53 68.58 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4c8aa171-7ed2-4591-aa55-dd0572f1b2db) + ) + (label "DB1{slash}SD1" (at 104.775 40.64 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4cefe1f2-7715-4599-b25c-c5dc3d803f13) + ) + (label "BA10{slash}SA10" (at 106.68 73.66 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4dc3e89b-383c-4231-bff9-8820078fb335) + ) + (label "BA12{slash}SA12" (at 106.68 68.58 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4e276ae7-5903-4cdb-86cb-497c27d2eb7d) + ) + (label "~{MEMW" (at 183.515 132.08 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4e28702b-b0a2-44c9-a568-29e33c397624) + ) + (label "BA16{slash}SA16" (at 177.8 58.42 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 54b13df6-ca5b-42ef-9771-aafdfa041b57) + ) + (label "DB3{slash}SD3" (at 179.705 35.56 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 57c34288-bd59-499d-98cc-57a4f125b631) + ) + (label "IRQ7" (at 53.34 73.66 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 59e37c10-c3cc-4aff-bb8a-648e11561bd9) + ) + (label "LA23" (at 100.33 106.68 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5a4342ca-6b0a-4c45-83a5-25dec4276cf1) + ) + (label "IRQ12" (at 51.435 114.3 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5adb39e9-6249-446b-b33b-99dcf17eaf10) + ) + (label "TC" (at 55.88 88.9 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5f69640e-f88b-4d4e-b202-14b3a05653e5) + ) + (label "DB6{slash}SD6" (at 104.775 27.94 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5fd0fad6-2c46-4af6-8ff6-c3976e47e1ca) + ) + (label "IRQ10" (at 51.435 109.22 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 608cb86f-3361-42b9-afe3-aa4d647583d8) + ) + (label "~{DACK0" (at 50.8 121.92 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 631cb158-7b75-44b7-8810-fb49e9d92eac) + ) + (label "BA5{slash}SA5" (at 106.68 86.36 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 63a9908f-d64b-4195-a2a5-fe93e9b80dbf) + ) + (label "MASTER{slash}~{MASTER}" (at 247.015 149.86 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 647c912b-6d98-4274-a14f-44384a05d07c) + ) + (label "DRQ1" (at 238.76 66.04 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 662ab5a1-6904-4f32-b235-55252593bdc6) + ) + (label "IRQ5" (at 236.22 78.74 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 68afb348-f609-4599-8a47-bcf14c239049) + ) + (label "AEN" (at 184.785 48.26 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6a904dbf-783d-4b05-9e98-6cc4378d618f) + ) + (label "IRQ11" (at 51.435 111.76 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6b6ad42f-7421-4cc4-903d-05ac8232823c) + ) + (label "~{DACK6" (at 50.8 132.08 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6be7f563-e616-4d66-bb05-8157282ef494) + ) + (label "LA22" (at 184.15 114.3 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6d34bceb-b10c-4307-85b5-4961adfca427) + ) + (label "BA4{slash}SA4" (at 177.8 88.9 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6d401afe-bcde-4a73-9092-264cf3b71baa) + ) + (label "BA2{slash}SA2" (at 106.68 93.98 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6da278cb-e148-4c84-9c87-1d07d000b018) + ) + (label "D9{slash}SD9" (at 106.68 132.08 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6e5f52d3-6ac3-4393-9180-233a81f1ea53) + ) + (label "BA4{slash}SA4" (at 106.68 88.9 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6ed61086-eb49-420f-b0a9-8427cb8d624e) + ) + (label "~{IOW}" (at 238.76 53.34 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7001c765-6d64-4efa-b3cb-b91d02881e3c) + ) + (label "DRQ5" (at 50.8 129.54 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 730dad2d-e107-4954-9aac-9fa503eda38e) + ) + (label "BA18{slash}SA18" (at 106.68 53.34 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 73c414c3-b2cd-4b8e-8821-a6731e284726) + ) + (label "D8{slash}SD8" (at 106.68 129.54 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 74df2b07-8ef7-49ec-8c47-398ef6bbaf79) + ) + (label "AEN" (at 99.695 48.26 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 757fa3b5-4d2c-465a-a1a7-511e6ef4056c) + ) + (label "BA0{slash}SA0" (at 177.8 99.06 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 75e33259-2805-4fc0-bcdb-48e584f3df9a) + ) + (label "LA17" (at 100.33 121.92 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7c04dbea-fef2-4f2c-b97e-98257d333f1e) + ) + (label "BA0{slash}SA0" (at 106.68 99.06 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7ddeae54-6c2e-4364-a5b4-5fd203f744d5) + ) + (label "D15{slash}SD15" (at 177.8 152.4 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 807273cd-e6f4-41bc-bc80-91981757bb89) + ) + (label "BA6{slash}SA6" (at 177.8 83.82 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8295b148-8a45-4354-9a1a-dffa9d42354a) + ) + (label "DRQ0" (at 238.125 129.54 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 829b69e4-aa92-49c2-a594-be1f6162d8d3) + ) + (label "DB2{slash}SD2" (at 104.775 38.1 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 83a86c1b-29cc-4c0c-b2cd-cd3ef1f4808c) + ) + (label "~{DACK7}" (at 238.125 142.24 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 845ee45d-44b6-4f56-821a-f3b3d7b94879) + ) + (label "DB3{slash}SD3" (at 104.775 35.56 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 85a3386d-77ad-4b0b-9e8e-21cf07568bd7) + ) + (label "BA1{slash}SA1" (at 177.8 96.52 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 877f9d7c-fd1e-4c3e-9945-657d47f76e21) + ) + (label "LA18" (at 184.15 124.46 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 898a1b65-ce9d-4649-ab9c-e99d98e1dde5) + ) + (label "IRQ6" (at 236.22 76.2 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8b9b1434-41b9-4708-be7d-b5ad63f7996e) + ) + (label "DRQ0" (at 50.8 124.46 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8d46bf38-9f02-417b-8a18-380403e4e005) + ) + (label "~{DACK7}" (at 50.8 137.16 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8edc0670-f7a9-4c80-b3a8-4c3816368c52) + ) + (label "BA19{slash}SA19" (at 106.68 50.8 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8ef2fea6-2204-4cd8-990a-4de7a31ff5ea) + ) + (label "BA5{slash}SA5" (at 177.8 86.36 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 915c19eb-8d21-41f4-aaf5-2f1a42aca003) + ) + (label "DRQ6" (at 50.8 134.62 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 96d07c5e-6bc0-4b9f-a391-207d90611d8c) + ) + (label "DB7{slash}SD7" (at 104.775 25.4 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 99648e81-e3d8-4c70-8d6b-177771cab4c6) + ) + (label "BA2{slash}SA2" (at 177.8 93.98 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9ca97d04-9ab3-41ff-98cd-fda08838c346) + ) + (label "D10{slash}SD10" (at 177.8 139.7 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9ccf69ef-5302-4ac1-8b61-d4063ca8738b) + ) + (label "IRQ10" (at 238.125 114.3 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9eb831a1-fb47-4404-bc77-523d5516edc4) + ) + (label "~{REFRESH" (at 240.03 68.58 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a0fb1c7e-28bb-40d8-b8e0-8c6ea4f77cac) + ) + (label "BA17{slash}SA17" (at 177.8 55.88 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a1949e24-fd62-47f5-b3de-98810c5c98ee) + ) + (label "IRQ4" (at 236.22 81.28 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a4834de1-771e-4af4-8a4b-8091c150c2f6) + ) + (label "OSC" (at 234.95 96.52 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a60e3173-10e9-4430-8d62-810c1692271b) + ) + (label "~{MEMCS16" (at 49.53 104.14 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a81d00fd-5024-467b-8de1-6a9d46d28b6c) + ) + (label "D13{slash}SD13" (at 177.8 147.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid afafb063-3a70-466e-96f2-8da601ba15b1) + ) + (label "~{DACK3" (at 238.76 58.42 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b0b33e9a-8920-40ab-9a09-c35dd4c0080a) + ) + (label "~{DACK6" (at 238.125 137.16 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b1ae81e1-5c66-4c52-a647-9546720be7d3) + ) + (label "~{MEMR" (at 100.965 124.46 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b3ed49ad-27d1-4a9d-bad5-8215a6cd2644) + ) + (label "LA19" (at 184.15 121.92 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b4ac9379-db86-4547-8f3c-eb78317b14b9) + ) + (label "IRQ15" (at 238.125 121.92 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b55e5ff0-0680-44c6-968b-82bae5b956f0) + ) + (label "BA11{slash}SA11" (at 177.8 71.12 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b76e074b-5cbb-42ea-b9d4-d79bec3cc31d) + ) + (label "IO{slash}~{IOCHK}" (at 105.41 22.86 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b9870051-f17a-4551-a2f0-548250613da8) + ) + (label "LA22" (at 100.33 109.22 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bd52f831-a3a5-4bb3-9668-1bdcbd250939) + ) + (label "DB0{slash}SD0" (at 104.775 43.18 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bd85df4d-763f-41ed-b35f-81bb70f2eba4) + ) + (label "DB6{slash}SD6" (at 179.705 27.94 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bdcc17a1-68f9-4111-aff5-f5962520493a) + ) + (label "SBHE{slash}~{SBHE" (at 176.53 109.22 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid be7d7a84-ff4d-41b2-8a57-127f71fb0482) + ) + (label "DRQ3" (at 238.76 60.96 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid be8d1cb7-31aa-434a-b6cd-90090b872255) + ) + (label "BA17{slash}SA17" (at 106.68 55.88 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c3041ea8-1d90-41be-aaa0-7b01a319bcab) + ) + (label "BA19{slash}SA19" (at 177.8 50.8 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c56ec0ac-bf39-49bf-9a24-c2b03da3e71d) + ) + (label "LA19" (at 100.33 116.84 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c5934fd7-53de-4daa-9223-f61c1c66a3a6) + ) + (label "~{IOCS16" (at 49.53 106.68 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c7f3d885-dcd2-48a0-9f4a-c2ebcbd5c0cf) + ) + (label "~{SRDY}" (at 237.49 40.64 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid cb3f1f13-6020-4c29-962e-457b833470bb) + ) + (label "D13{slash}SD13" (at 106.68 142.24 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid cd67abf8-05c9-4691-a1f8-2b7ac18156aa) + ) + (label "~{MEMCS16" (at 240.03 109.22 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid cddffcb9-089e-4769-8785-77c42a8f3330) + ) + (label "BA14{slash}SA14" (at 106.68 63.5 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid cebae5a1-84a4-44d8-97a8-51b06d970c08) + ) + (label "CLK{slash}BCLK" (at 241.3 71.12 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid cefee242-9a57-43a6-9631-5393837917f9) + ) + (label "LA17" (at 184.15 127 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d0000a69-c436-4049-a03f-6e3934f406fe) + ) + (label "IRQ4" (at 53.34 81.28 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d1a33052-8145-4726-85ac-6938812f7afa) + ) + (label "LA20" (at 100.33 114.3 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d44bf5d7-5a48-4248-a3bc-a5a5b6d0958b) + ) + (label "SBHE{slash}~{SBHE" (at 107.95 104.14 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d58fb886-5cb0-4385-a7c7-d08bf1715af2) + ) + (label "DRQ1" (at 50.8 66.04 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d5b5c2da-a63f-4755-a409-99ceb461d131) + ) + (label "DRQ7" (at 238.125 144.78 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d6f276d8-06aa-4a32-a693-d9caa230bf94) + ) + (label "LA18" (at 100.33 119.38 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d715a2ec-6711-4acf-9d74-d2a47d26b88c) + ) + (label "ALE{slash}BALE" (at 240.665 91.44 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d79465d0-1c6a-49e7-a6ce-09b494ab244f) + ) + (label "~{MEMR" (at 183.515 129.54 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dbee6ffa-db48-4fef-86fc-2d53cccae54d) + ) + (label "DB5{slash}SD5" (at 104.775 30.48 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid dee82bef-8576-44f4-8164-29a2f4e38102) + ) + (label "BA6{slash}SA6" (at 106.68 83.82 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e3440966-9f79-461e-b9ac-529582051a66) + ) + (label "IRQ11" (at 238.125 116.84 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e3fd1f7f-2b92-44cd-a2f5-8583bd784982) + ) + (label "OSC" (at 54.61 96.52 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e7d61848-cc13-44a5-9f96-235ddda6796f) + ) + (label "BA10{slash}SA10" (at 177.8 73.66 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e804ff22-15f4-4935-85c4-0773378aee20) + ) + (label "D11{slash}SD11" (at 177.8 142.24 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid eb8a7dd4-5f17-4f2b-ad82-c0f86daeb7d0) + ) + (label "BA7{slash}SA7" (at 177.8 81.28 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ecfb34f8-1771-43f6-a3d9-6da1a5e860e3) + ) + (label "CLK{slash}BCLK" (at 48.26 71.12 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ed88db4a-90cf-4713-8a90-81850d0b65e4) + ) + (label "BA13{slash}SA13" (at 106.68 66.04 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid edd41482-7694-495d-89e7-cf8ef4ec8de0) + ) + (label "BA13{slash}SA13" (at 177.8 66.04 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ee11fb1d-fc19-4ab2-b4b1-ed46c734d7e9) + ) + (label "D11{slash}SD11" (at 106.68 137.16 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ee9d9e65-8d13-4f35-9174-92ab798a9e2b) + ) + (label "RESET" (at 237.49 25.4 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f18063e4-3a60-42ec-9b33-d36a0d2c0781) + ) + (label "BA8{slash}SA8" (at 177.8 78.74 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f2be628a-4317-4ffa-9be3-89922bd5f9a1) + ) + (label "IRQ2{slash}IRQ9" (at 241.3 30.48 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f3a04dc5-18d3-4e9b-8544-99d32cfffc87) + ) + (label "IRQ6" (at 53.34 76.2 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f5a58d50-ae57-400f-97c5-472749eb47ed) + ) + (label "IRQ5" (at 53.34 78.74 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f63624a7-8f13-418a-8cbf-9c07d95a57be) + ) + (label "BA3{slash}SA3" (at 106.68 91.44 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f86df4df-f9ac-4965-8e43-7dd0224c50f6) + ) + (label "BA18{slash}SA18" (at 177.8 53.34 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f88f66d1-e2a6-4989-81fb-9b0f6e355cf3) + ) + (label "BA15{slash}SA15" (at 106.68 60.96 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f8ec9219-81f6-498c-b1c0-1c93516b63f7) + ) + (label "D14{slash}SD14" (at 106.68 144.78 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fca18654-678d-4cb8-9b57-4f86922c5c7d) + ) + (label "IRQ7" (at 236.22 73.66 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fe12bf57-b146-4c57-9056-918fbd9375c3) + ) + (label "LA20" (at 184.15 119.38 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid feb5d758-f43c-46e9-a91c-380fa261d741) + ) + (label "DB2{slash}SD2" (at 179.705 38.1 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ff9e7290-1641-4134-852f-bbaf4b482e53) + ) + + (symbol (lib_id "power:GND") (at 236.855 101.6 90) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 05febd68-5a39-4a54-bdb3-fc1f037f77ec) + (property "Reference" "#PWR0108" (id 0) (at 243.205 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 240.665 101.6001 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 236.855 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 236.855 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4207b085-f6d9-4520-9b59-7a20412062b6)) + ) + + (symbol (lib_id "power:-12V") (at 56.515 38.1 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 0693403b-e877-4da8-86e7-7930324a0134) + (property "Reference" "#PWR02" (id 0) (at 53.975 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-12V" (id 1) (at 50.165 38.1 90)) + (property "Footprint" "" (id 2) (at 56.515 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 56.515 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d57cbcf5-7566-4a9a-9a27-129e321fef29)) + ) + + (symbol (lib_id "power:+5V") (at 244.475 27.94 270) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 1b4e9b0f-6213-487c-9553-4784007053cc) + (property "Reference" "#PWR0105" (id 0) (at 240.665 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 247.65 27.9399 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 244.475 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 244.475 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bdc20f2d-b9a2-4530-bb37-64cc0b0b2eee)) + ) + + (symbol (lib_id "local:Bus_PC104_16bit") (at 210.185 87.63 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 25a50650-70b5-4cc3-9537-5ec09dc54111) + (property "Reference" "J1" (id 0) (at 210.185 15.875 0)) + (property "Value" "Bus_PC104_16bit" (id 1) (at 210.185 18.415 0)) + (property "Footprint" "local:PC104_16bit" (id 2) (at 210.185 85.09 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://pc104.org/wp-content/uploads/2015/02/PC104_Spec_v2_6.pdf" (id 3) (at 210.82 13.97 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A32" (uuid c3382e4d-ad09-4d4b-a7fa-f184d2b320a3)) + (pin "B32" (uuid d56ba1a0-3b37-4264-89ea-6b73b00455df)) + (pin "C19" (uuid c1dfd111-b7b9-4493-b929-f0987a82f1a1)) + (pin "D19" (uuid a56b82a2-0cd6-42ff-a570-cad6c7d94ff1)) + (pin "A1" (uuid a3598333-4983-4578-873a-0e44ca48afc8)) + (pin "A10" (uuid 76c70bdf-b253-4288-940f-a1558af338e4)) + (pin "A11" (uuid 3a6d3ce3-25fd-43de-af5e-d636977c492f)) + (pin "A12" (uuid 0351452e-8e1c-4d16-96da-75b63e425c15)) + (pin "A13" (uuid 088f4acd-a15d-48ea-a1aa-8e4af32ec764)) + (pin "A14" (uuid a92f77ca-b444-47b7-a967-c3f5cdf14a6d)) + (pin "A15" (uuid c9476f35-2152-4a52-90a6-2c9aec79533c)) + (pin "A16" (uuid b685b9e8-c595-4ff9-8b0b-85ae3f9d6543)) + (pin "A17" (uuid b4430f26-760d-48f1-a1d4-cd4a1ff979c2)) + (pin "A18" (uuid e224fa73-8e2b-4901-81e9-a012aa1fd489)) + (pin "A19" (uuid 8f400521-26ee-4ba5-b8ab-206108aea5db)) + (pin "A2" (uuid 81139e27-9907-4440-a974-31207d1d6a11)) + (pin "A20" (uuid b4fa56df-75fe-4596-8056-95da8dd4cfc5)) + (pin "A20" (uuid b4fa56df-75fe-4596-8056-95da8dd4cfc5)) + (pin "A21" (uuid 6ea17b67-6bd6-4c5e-bcf0-129b81983509)) + (pin "A22" (uuid 6c41d570-c026-42ba-a983-7eac4f6a127e)) + (pin "A23" (uuid b7449d01-3101-42c1-989c-fc4b45c9135a)) + (pin "A24" (uuid 6350c1bb-7a6a-497c-bf50-e96c0d285a97)) + (pin "A25" (uuid 39bd3a2b-acad-4327-9262-2a66b565bb46)) + (pin "A26" (uuid 0949d0b9-5940-415a-a86d-7fde4514e3fe)) + (pin "A27" (uuid f2379eba-3d11-4d81-93c3-e4348f454252)) + (pin "A28" (uuid 56c04e40-223b-4335-aeae-adf60a1e58de)) + (pin "A29" (uuid a30adffe-ee19-4041-8901-c59d01dbb5c9)) + (pin "A3" (uuid f6f3f553-e257-47a8-8d84-fee27e3e4d91)) + (pin "A31" (uuid 6ba33052-6302-4277-9548-95a2992d471d)) + (pin "A4" (uuid 2c199b1b-9d2a-4b40-8e46-ce051b2c73c4)) + (pin "A5" (uuid 3ffb6a32-e6b6-400d-80c4-fe16fa06547e)) + (pin "A6" (uuid 7f41333a-e998-41ea-95d0-daa04803d6ff)) + (pin "A7" (uuid d2353295-0df0-4de3-957a-4ceab052d733)) + (pin "A8" (uuid 863bae44-9fde-4be5-a62f-7e203567fdf0)) + (pin "A9" (uuid 367a4322-949c-4459-9014-6591db486622)) + (pin "B1" (uuid b953fa3f-219f-4044-add2-137b54966fd7)) + (pin "B10" (uuid ee076526-1a67-4e13-b89b-75d85f4c3f44)) + (pin "B11" (uuid 30a17081-3598-42f3-a619-47bd6f0e102d)) + (pin "B12" (uuid 330b4543-d814-4aee-be64-1186ed47bbfd)) + (pin "B13" (uuid 472074e0-d6aa-486b-9089-aee1f458fdb4)) + (pin "B14" (uuid 159c70d0-c449-4c93-bfd5-4c1615f8d4e8)) + (pin "B15" (uuid 10720716-a701-463d-bb1c-9656463eb9fa)) + (pin "B16" (uuid d3003c56-0aff-4930-82fb-cc9add73e18c)) + (pin "B17" (uuid 2633a402-11b1-44fd-baa9-df310404972a)) + (pin "B18" (uuid 5fc1d9ea-0c01-4426-b6d1-93d2896052f0)) + (pin "B19" (uuid d7d1b699-b6e6-4b6f-b7d6-a93967c8c614)) + (pin "B2" (uuid 57bfbb9b-4f94-445f-866b-8b537b574539)) + (pin "B20" (uuid 65a31654-a2ac-47af-a850-4bea7d97fb87)) + (pin "B21" (uuid 0c25df39-ed8a-4e1f-8980-71e142ca016d)) + (pin "B22" (uuid e06683fb-21f5-49ce-a834-0e9c69d430d2)) + (pin "B23" (uuid db44a310-30d4-44ef-8129-8c463a890917)) + (pin "B24" (uuid bccdee1e-5dfe-474f-9e45-894453996aba)) + (pin "B25" (uuid 97f6c5b0-9aaa-4de8-842b-fa006bf5f1fa)) + (pin "B26" (uuid bdd9ca74-5205-4956-9ea3-d084bf0f6989)) + (pin "B27" (uuid b5e6f967-0194-4187-a1d8-8b329ed9c9b6)) + (pin "B28" (uuid 60f8e9cc-08a1-4f57-ae91-8f2f83eab34d)) + (pin "B29" (uuid 3fa2b08f-c25c-4bfa-a56c-9e62bad6dc21)) + (pin "B3" (uuid 3f0867b4-8fc9-410b-a40b-847c83d75681)) + (pin "B30" (uuid c7af7df6-0a83-41a9-875b-c201c188d802)) + (pin "B31" (uuid 50343ae9-aa52-4d4e-80fa-6154653303a0)) + (pin "B4" (uuid ce4f35fc-237b-41f7-8be3-3e59a9f08ab5)) + (pin "B5" (uuid 64a32fc7-8d71-4ccc-aae6-ca3b5c2f797a)) + (pin "B6" (uuid fd6d0a21-f8c6-47e6-b999-cc38b6bf0c73)) + (pin "B7" (uuid a8da5c9c-28e9-4034-a5a0-dcfd3f4ce6e6)) + (pin "B8" (uuid 5561dce6-b811-4b02-bae1-1510ef6a7ce5)) + (pin "B9" (uuid f740ee15-046e-4724-86a0-b52ba554c561)) + (pin "C0" (uuid 2973264f-06e0-4a4f-b84f-f7aefb54328e)) + (pin "C1" (uuid 22048705-1e45-411b-95fc-1c06844d1b86)) + (pin "C10" (uuid ab8322e8-80d3-4ba1-a108-57decbbc80f3)) + (pin "C11" (uuid 92c1eaeb-ee6c-4501-be7d-766ac6658b5e)) + (pin "C12" (uuid 890a2fa8-1670-4e13-9423-bedfaa53d7a1)) + (pin "C13" (uuid 303c462a-d7a9-495c-8f6b-8498bc316ac1)) + (pin "C14" (uuid 7edc63e8-6b7c-4c89-9b7d-60170fe3ab0a)) + (pin "C15" (uuid 3a8e527d-8ccf-4900-b90c-782741626881)) + (pin "C16" (uuid 7e1c22d8-d1c1-4e56-a9c1-cde8a1b6a1fe)) + (pin "C17" (uuid 7dc506f5-732d-4fab-a9c5-19785b72a9a6)) + (pin "C18" (uuid f1ffa5a3-caf9-405e-be53-a6c46ffff98d)) + (pin "C2" (uuid 091614fe-44c2-413e-8f79-a234ab8b4ead)) + (pin "C3" (uuid 2f62d480-9341-4035-bf6a-35701ac50f14)) + (pin "C4" (uuid 462c1c97-d278-4c0c-a96a-c7542239876a)) + (pin "C5" (uuid 51db228d-acf0-4a15-b6b4-480ae4943fba)) + (pin "C6" (uuid 114b948b-a19c-4887-83b6-de5b18607189)) + (pin "C7" (uuid 01375b06-fcfc-4c01-9853-e8c1dea0b57c)) + (pin "C8" (uuid 654c73dc-b7dc-4a79-b380-cc5782f06fec)) + (pin "C9" (uuid 7cd0078d-816a-4f99-b0c7-5f0c85e2d606)) + (pin "D0" (uuid ba4fcfc7-e827-4fee-8955-f189dc009a17)) + (pin "D1" (uuid 9a5c6e01-103c-4b97-a1f6-aefdc6e2a525)) + (pin "D10" (uuid 2a3ef91c-ef77-4479-bdb6-bd7ac5827647)) + (pin "D11" (uuid 5f83d1d8-e8db-422e-aaba-938566792809)) + (pin "D12" (uuid 0774fc40-c321-43d8-875c-53d618088aab)) + (pin "D13" (uuid b74461c5-cc78-4822-89ca-f42b344a393a)) + (pin "D14" (uuid 0a73e234-5475-4bdf-8d7f-52669203b2ce)) + (pin "D15" (uuid e2a06f48-be42-4412-94de-86cb03b7e11f)) + (pin "D16" (uuid 7dda5132-379f-4b13-acb9-151e100314f0)) + (pin "D17" (uuid ce0939cf-d701-4104-83de-22f994772792)) + (pin "D18" (uuid db0e9231-841c-4677-b162-02d4a5b1c189)) + (pin "D2" (uuid 8b1ca213-e0d2-4483-afc5-6df4587ef97b)) + (pin "D3" (uuid c8945a7d-d703-42b5-b548-c08d2ee8ee67)) + (pin "D4" (uuid 8e12abd4-147a-4376-893c-3805b045bb3f)) + (pin "D5" (uuid ba8870b8-d591-4030-8687-04e920da461e)) + (pin "D6" (uuid 0508e180-802c-4298-9c8c-5455f164b240)) + (pin "D7" (uuid bd2fa6fb-cc26-4bee-b029-0b41ee31036c)) + (pin "D8" (uuid d23c045f-98a0-4287-961e-6b2644d416ff)) + (pin "D9" (uuid 0e95e2f7-a346-4e01-9ae4-789a54bfb479)) + ) + + (symbol (lib_id "power:+5V") (at 43.815 27.94 90) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 3ec70f31-5f39-4928-975b-a16cdfaf2d93) + (property "Reference" "#PWR0113" (id 0) (at 47.625 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 40.005 27.9399 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 43.815 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 43.815 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8c3e14ae-ce76-44e7-bd91-a750e3202fff)) + ) + + (symbol (lib_id "power:-12V") (at 238.76 38.1 270) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 41702699-93fa-4c4c-a05d-9214e6825457) + (property "Reference" "#PWR06" (id 0) (at 241.3 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-12V" (id 1) (at 242.57 38.0999 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 238.76 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 238.76 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d91d3da7-57bc-4507-aaef-891680fed079)) + ) + + (symbol (lib_id "power:+5V") (at 53.975 93.98 90) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 4e7e485e-9e53-4a7f-a160-bd765b3533ad) + (property "Reference" "#PWR0117" (id 0) (at 57.785 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 50.165 93.9799 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 53.975 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 53.975 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e322910f-c5b1-4964-904c-0af69a9cb86e)) + ) + + (symbol (lib_id "power:GND") (at 127 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 57ebb5d8-79c5-433b-8612-450a5d6b3808) + (property "Reference" "#PWR?" (id 0) (at 127 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 128.905 185.4199 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 127 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 127 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3edbc446-5d68-469c-9f87-cc363d84beb1)) + ) + + (symbol (lib_id "power:-5V") (at 43.815 33.02 90) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 61621939-e5a0-47b7-89f1-46a797bcbe96) + (property "Reference" "#PWR01" (id 0) (at 41.275 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-5V" (id 1) (at 40.005 33.0199 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 43.815 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 43.815 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 076272bc-2f37-4f0d-bfbe-7bca7a4ac0de)) + ) + + (symbol (lib_id "power:GND") (at 50.8 45.72 270) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 70076363-2cc1-47ff-a4d4-0d6382998ced) + (property "Reference" "#PWR0114" (id 0) (at 44.45 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 46.99 45.7199 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 50.8 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 50.8 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fb64c4b6-bfab-4a11-8fdc-572a438d4667)) + ) + + (symbol (lib_id "power:GND") (at 235.585 154.94 90) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 717c5e2f-d72a-4ac8-92c2-f98e01f4aba3) + (property "Reference" "#PWR04" (id 0) (at 241.935 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 239.395 154.9401 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 235.585 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 235.585 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a8262da0-0b2d-4c24-9152-c9d25017e763)) + ) + + (symbol (lib_id "power:GND") (at 43.18 22.86 270) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 7597ff5f-39a9-4813-aadd-3a9470926951) + (property "Reference" "#PWR0112" (id 0) (at 36.83 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 39.37 22.8599 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 43.18 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 43.18 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 97ff6835-d2a3-4b2a-9c10-6925dd49b8a2)) + ) + + (symbol (lib_id "power:GND") (at 184.785 101.6 270) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 7ecd468b-93b4-4e0d-ad07-428f3070ee0a) + (property "Reference" "#PWR0101" (id 0) (at 178.435 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 180.975 101.5999 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 184.785 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 184.785 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d5b82f41-d00f-423b-ae5f-03836c0c324e)) + ) + + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 127 175.26 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 823f551e-5ebb-41a4-8610-0e7de0e60d50) + (property "Reference" "H1" (id 0) (at 129.54 172.7199 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "~" (id 1) (at 129.54 175.2599 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "MountingHole:MountingHole_3.2mm_M3_Pad_Via" (id 2) (at 127 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 127 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bc5e404e-ccd9-453e-acc2-0f671d911f23)) + ) + + (symbol (lib_id "power:-5V") (at 238.76 33.02 270) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 8888b9c8-17e9-4dc1-9fad-46a92279f038) + (property "Reference" "#PWR05" (id 0) (at 241.3 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-5V" (id 1) (at 242.57 33.0199 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 238.76 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 238.76 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c63df278-0174-4dc9-86e9-58536b6cb4f1)) + ) + + (symbol (lib_id "power:+5V") (at 42.545 142.24 90) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 8f7f154e-0b3e-4368-9c57-b7d7324fcb32) + (property "Reference" "#PWR0103" (id 0) (at 46.355 142.24 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 38.735 142.2399 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 42.545 142.24 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 42.545 142.24 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ba60e8dc-ec41-4124-90c8-78483dcef674)) + ) + + (symbol (lib_id "power:GND") (at 244.475 22.86 90) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 95122660-29c4-44ac-8e5e-a8d8a6257fb0) + (property "Reference" "#PWR0106" (id 0) (at 250.825 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 248.285 22.8601 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 244.475 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 244.475 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 27c1734c-c841-4fbb-9c48-64c6c548943e)) + ) + + (symbol (lib_id "power:+12V") (at 238.76 43.18 270) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid a52a10e3-1ebe-4ded-ac4d-7d84270761e0) + (property "Reference" "#PWR07" (id 0) (at 234.95 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+12V" (id 1) (at 242.57 43.1799 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 238.76 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 238.76 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fa43fe81-0f65-484b-9d74-9b215b151b2e)) + ) + + (symbol (lib_id "power:GND") (at 139.7 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid acd8e7ec-fd91-40e0-97e3-0a886fe3a641) + (property "Reference" "#PWR?" (id 0) (at 139.7 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 141.605 185.4199 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 139.7 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 139.7 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ced726de-2df9-47e5-bf85-ce9c3bdc4fa4)) + ) + + (symbol (lib_id "power:+5V") (at 236.855 93.98 270) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid bcb8e972-ccc9-473e-b7f4-008da4000965) + (property "Reference" "#PWR0107" (id 0) (at 233.045 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 240.03 93.9799 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 236.855 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 236.855 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9d63812e-737f-4d33-9625-116fb1191015)) + ) + + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 139.7 175.26 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid c26d45b6-5321-4527-af60-c346f6a46694) + (property "Reference" "H2" (id 0) (at 142.24 172.7199 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "~" (id 1) (at 142.24 175.2599 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "MountingHole:MountingHole_3.2mm_M3_Pad_Via" (id 2) (at 139.7 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 139.7 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1745d65e-ddcd-41ce-80f9-6f3e28f4e748)) + ) + + (symbol (lib_id "power:GND") (at 56.515 147.32 270) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid c5d0015f-35ae-4ad3-a5b4-cea90c38dbed) + (property "Reference" "#PWR0102" (id 0) (at 50.165 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 52.705 147.3199 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 56.515 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 56.515 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4ada4f9d-da2a-4199-a5d4-838df2a12ce4)) + ) + + (symbol (lib_id "power:+12V") (at 55.88 43.18 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid eba84ebc-25d9-44fd-9707-4c1ffd5fec65) + (property "Reference" "#PWR03" (id 0) (at 59.69 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+12V" (id 1) (at 47.625 43.1799 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 55.88 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 55.88 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid aaae314e-6f71-4755-a4c0-a0781fdbcc6e)) + ) + + (symbol (lib_id "local:Bus_ISA_16bit") (at 76.835 86.36 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid ec309164-f7e0-4f37-8830-ab17092a5540) + (property "Reference" "J2" (id 0) (at 76.835 15.24 0)) + (property "Value" "Bus_ISA_16bit" (id 1) (at 76.835 17.78 0)) + (property "Footprint" "Connector_PCBEdge:BUS_AT" (id 2) (at 76.835 85.09 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://en.wikipedia.org/wiki/Industry_Standard_Architecture" (id 3) (at 74.93 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2b7516e1-4e40-4c6b-8b3e-b37d42716093)) + (pin "10" (uuid c5408df5-89f9-4a4c-a3ee-2b5cbb7d3239)) + (pin "11" (uuid c78324a8-1906-4d08-bafd-2bba7109d1b0)) + (pin "12" (uuid bd1a8893-7c59-4e70-b9f1-267fd074eb8b)) + (pin "13" (uuid e638f75e-a9e0-4a85-8a67-ca4caacf66e4)) + (pin "14" (uuid 49e7e8f3-7c7a-49d6-a604-1b5abf6283bb)) + (pin "15" (uuid 845a11c7-58bc-4be3-9491-96ebad04070a)) + (pin "16" (uuid e64f5d41-6b21-4dcc-b6ef-3260b180b5ed)) + (pin "17" (uuid 0c278029-cb06-4807-8c56-9c73299e2c09)) + (pin "18" (uuid b3154932-f5d4-48fb-bdc9-823eb39a2128)) + (pin "19" (uuid 3be73bf7-717f-49a3-9dd7-006281aed939)) + (pin "2" (uuid 913f4bc4-6b85-46e5-9cba-dd8eea166bbb)) + (pin "20" (uuid 55de4f25-c11f-452f-8f7a-ee907ba52617)) + (pin "21" (uuid 20d8a335-1287-4d26-bb7e-d0776c06c908)) + (pin "22" (uuid cbd04f75-95a8-4253-8a48-8443c6be04fc)) + (pin "23" (uuid c92686eb-a310-444a-a6c5-b6d09a1ff0ee)) + (pin "24" (uuid 8e234ebc-a154-41b2-beaf-79fcf611a568)) + (pin "25" (uuid 1035b2b0-e6ba-40b5-887a-6c971f98278c)) + (pin "26" (uuid 03e271f1-3f08-433a-ade5-98537596cc6e)) + (pin "27" (uuid daafd352-5bcb-4daa-87e1-9b8279db83e7)) + (pin "28" (uuid a1449fba-7212-4e2e-affd-a42af3573f94)) + (pin "29" (uuid f0cf21e7-e562-4c25-8d57-94d0c732efaf)) + (pin "3" (uuid bca5777f-3aa3-4818-acff-98de90fa26a6)) + (pin "30" (uuid 7edf945e-9fcc-4a15-a949-07b7445c251f)) + (pin "31" (uuid 9d548421-f4f2-43e7-b0f6-a21de9815c3e)) + (pin "32" (uuid 9c1a60a2-d393-468b-acc5-6c3a902ea98a)) + (pin "33" (uuid b4e9a6cd-d082-4e92-8c33-c83ee388a440)) + (pin "34" (uuid 9fcdf6a3-84a4-49ec-8427-797750850f6a)) + (pin "35" (uuid 7fb5899d-4efe-416d-9588-f49bdd81cd86)) + (pin "36" (uuid 8401522d-81ce-49a5-8016-6eaa07e478cb)) + (pin "37" (uuid 9ec4d9f5-1e0c-47a7-87a0-66cf63ac5237)) + (pin "38" (uuid 88e50a18-f70d-4c43-9ba3-5939c645f8f3)) + (pin "39" (uuid ecf1cf57-dc8e-4943-ad9d-062e6ba1637e)) + (pin "4" (uuid fb65d615-a7b9-41bf-b3e0-8bad29152925)) + (pin "40" (uuid b5e8c83a-ec73-44a3-9a91-fc4c0851eccd)) + (pin "41" (uuid ae5f0e5c-1884-4c54-a6bd-bcf9238577da)) + (pin "42" (uuid fa3535ac-c7d1-464a-863f-076d04ee02d7)) + (pin "43" (uuid 8758359f-e280-48f5-990c-d8c14710fe80)) + (pin "44" (uuid ad066f3e-3df3-4194-a817-32a1d019e1d4)) + (pin "45" (uuid c29e4606-3d38-4f0f-9dd9-403ed3dd9224)) + (pin "46" (uuid d4033810-0235-4001-b24a-14d598d361c6)) + (pin "47" (uuid 766e9694-cb0f-4310-9b78-ae7824816fca)) + (pin "48" (uuid 31b90f9d-9aa2-49d8-b223-63144185cde1)) + (pin "49" (uuid ab8be600-395d-4626-ab8f-bd4a809982cf)) + (pin "5" (uuid 306e19d5-1b98-4435-a41f-64c1e562fad6)) + (pin "50" (uuid a2cb977e-d2ec-4fb7-b7cb-ef5062efe452)) + (pin "51" (uuid d7a9c2ad-57ee-48a6-bed6-d33d33bef873)) + (pin "52" (uuid e2340e00-c1c8-4fc5-a4eb-29733fe22ad6)) + (pin "53" (uuid 287e8a53-86b2-473d-a22c-0d66e473755d)) + (pin "54" (uuid 1507aaa3-232b-46a2-8a9a-6b0d71c206e7)) + (pin "55" (uuid 37667a37-0675-4fe5-95ff-fa8a007fb937)) + (pin "56" (uuid 5508c7c2-3e6f-4f39-a3e1-bb92a2d65992)) + (pin "57" (uuid 046d3f00-537a-4f74-8aa2-eb3457db3c20)) + (pin "58" (uuid 4bd062ac-9d43-4dc2-ae49-ccf8d2bdbeca)) + (pin "59" (uuid c3fd14e7-b5cf-4ec1-977d-217c1aa790ce)) + (pin "6" (uuid 6065515d-fe62-4e1b-a31c-ae1a917a4619)) + (pin "60" (uuid adb69931-bce9-416f-bd49-4c07bb5fcc50)) + (pin "61" (uuid 5a3b9297-b634-4ef2-a050-026a3c5aabff)) + (pin "62" (uuid 40eed9c1-c5f8-4a04-94bd-efcb47caec3f)) + (pin "63" (uuid b572a27f-5cd3-4a64-8d3c-3c342eae2bef)) + (pin "64" (uuid 5f662ebf-b717-4bd9-bc05-a4315738e3bb)) + (pin "65" (uuid 76bade8a-1405-4ab4-99f0-61afa93d8bac)) + (pin "66" (uuid b0d4469d-e133-464a-868f-d613677637da)) + (pin "67" (uuid 28bcc7f3-6d28-442a-a2d3-f5a20ddf99d0)) + (pin "68" (uuid 7a3c501a-6d17-4677-ba0a-d95d4d2fdd2e)) + (pin "69" (uuid 894fccaa-180e-425a-97f7-80f5c6b877ee)) + (pin "7" (uuid b0cb9910-0195-4f42-9749-08b19192e8e6)) + (pin "70" (uuid c9012c4b-e46f-4654-9238-3e1cf8c0e3a3)) + (pin "71" (uuid 56843336-b41d-4d50-8a05-7e7b99c5a59e)) + (pin "72" (uuid 43fc6fea-425c-456b-ab27-cdc96bd59c2a)) + (pin "73" (uuid daa6e042-193f-47e7-a867-b725bcb74057)) + (pin "74" (uuid b7619a1b-bc4f-4675-b0b2-734e227d23ee)) + (pin "75" (uuid ba104f48-8e48-4350-9bf3-b97fee87de31)) + (pin "76" (uuid 4bbdb340-7ef2-44c5-a6da-97a9aa4004b1)) + (pin "77" (uuid 394859b5-bc6f-4b7b-b6e5-b344abea9bdc)) + (pin "78" (uuid 309a20d4-0914-4a70-aabd-d98a9dd79c29)) + (pin "79" (uuid d4e3bd08-94d0-4d7f-9a63-8fe520b14ffa)) + (pin "8" (uuid 256789dc-e7db-4690-9be9-609272fe0234)) + (pin "80" (uuid 7afeb106-50c0-4166-b1d6-87d34121574c)) + (pin "81" (uuid 1dc866b3-0737-441f-90f0-765928566ad6)) + (pin "82" (uuid 04299503-4dca-4471-881e-ac3b41ad29cd)) + (pin "83" (uuid 27b25a94-c77e-4a82-975a-18add887ef57)) + (pin "84" (uuid 8d65eee3-472c-4c82-a167-eabd2dce6e5d)) + (pin "85" (uuid 9ed0c1a7-0d5f-4df6-8314-0f1c2c8180d0)) + (pin "86" (uuid f3c6f442-a663-4f65-9697-89525179c220)) + (pin "87" (uuid da4c472c-2412-4172-90fd-a1849d64f93e)) + (pin "88" (uuid 2f64c206-6256-413d-af65-6c05884b9256)) + (pin "89" (uuid b4bdb651-3cf5-4061-8c50-03a6b88445aa)) + (pin "9" (uuid bba2f0d6-f81d-4c68-b065-125b2fd20dd4)) + (pin "90" (uuid 2646a37e-46c7-4cea-944f-6f71061a32d0)) + (pin "91" (uuid 99c59496-9f0e-48ad-8b9d-4faa6a1e4f82)) + (pin "92" (uuid 2c22b22e-fa1b-4823-9228-5f1f54f7e89b)) + (pin "93" (uuid 79386acf-8505-4120-a603-209f5a57f1a4)) + (pin "94" (uuid e966ac45-9be3-4e71-94c3-819dd4dc60a4)) + (pin "95" (uuid ce3380c1-e29d-48b3-bec9-75e1b1926c78)) + (pin "96" (uuid effd3a37-a73e-4076-bd1b-5a5f5be77995)) + (pin "97" (uuid 5d3b73ad-fb59-4cce-ba0c-3ce038b2e068)) + (pin "98" (uuid a5a2b8d7-551b-47d5-b78a-037d23912d7c)) + ) + + (symbol (lib_id "power:GND") (at 53.975 99.06 270) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid f523c715-8d18-4cb0-8da0-81d52bcf4d8c) + (property "Reference" "#PWR0104" (id 0) (at 47.625 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 50.165 99.0599 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 53.975 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 53.975 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cbadc050-0f8f-440b-89c1-f924fef787cf)) + ) + + (symbol (lib_id "power:+5V") (at 248.285 147.32 270) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid fec597eb-80f2-4f9e-93d4-d4c9eb30cf9d) + (property "Reference" "#PWR08" (id 0) (at 244.475 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 251.46 147.3199 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 248.285 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 248.285 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 74879441-74d3-40df-8132-8bb15527a52a)) + ) + + (sheet_instances + (path "/" (page "1")) + ) + + (symbol_instances + (path "/61621939-e5a0-47b7-89f1-46a797bcbe96" + (reference "#PWR01") (unit 1) (value "-5V") (footprint "") + ) + (path "/0693403b-e877-4da8-86e7-7930324a0134" + (reference "#PWR02") (unit 1) (value "-12V") (footprint "") + ) + (path "/eba84ebc-25d9-44fd-9707-4c1ffd5fec65" + (reference "#PWR03") (unit 1) (value "+12V") (footprint "") + ) + (path "/717c5e2f-d72a-4ac8-92c2-f98e01f4aba3" + (reference "#PWR04") (unit 1) (value "GND") (footprint "") + ) + (path "/8888b9c8-17e9-4dc1-9fad-46a92279f038" + (reference "#PWR05") (unit 1) (value "-5V") (footprint "") + ) + (path "/41702699-93fa-4c4c-a05d-9214e6825457" + (reference "#PWR06") (unit 1) (value "-12V") (footprint "") + ) + (path "/a52a10e3-1ebe-4ded-ac4d-7d84270761e0" + (reference "#PWR07") (unit 1) (value "+12V") (footprint "") + ) + (path "/fec597eb-80f2-4f9e-93d4-d4c9eb30cf9d" + (reference "#PWR08") (unit 1) (value "+5V") (footprint "") + ) + (path "/7ecd468b-93b4-4e0d-ad07-428f3070ee0a" + (reference "#PWR0101") (unit 1) (value "GND") (footprint "") + ) + (path "/c5d0015f-35ae-4ad3-a5b4-cea90c38dbed" + (reference "#PWR0102") (unit 1) (value "GND") (footprint "") + ) + (path "/8f7f154e-0b3e-4368-9c57-b7d7324fcb32" + (reference "#PWR0103") (unit 1) (value "+5V") (footprint "") + ) + (path "/f523c715-8d18-4cb0-8da0-81d52bcf4d8c" + (reference "#PWR0104") (unit 1) (value "GND") (footprint "") + ) + (path "/1b4e9b0f-6213-487c-9553-4784007053cc" + (reference "#PWR0105") (unit 1) (value "+5V") (footprint "") + ) + (path "/95122660-29c4-44ac-8e5e-a8d8a6257fb0" + (reference "#PWR0106") (unit 1) (value "GND") (footprint "") + ) + (path "/bcb8e972-ccc9-473e-b7f4-008da4000965" + (reference "#PWR0107") (unit 1) (value "+5V") (footprint "") + ) + (path "/05febd68-5a39-4a54-bdb3-fc1f037f77ec" + (reference "#PWR0108") (unit 1) (value "GND") (footprint "") + ) + (path "/7597ff5f-39a9-4813-aadd-3a9470926951" + (reference "#PWR0112") (unit 1) (value "GND") (footprint "") + ) + (path "/3ec70f31-5f39-4928-975b-a16cdfaf2d93" + (reference "#PWR0113") (unit 1) (value "+5V") (footprint "") + ) + (path "/70076363-2cc1-47ff-a4d4-0d6382998ced" + (reference "#PWR0114") (unit 1) (value "GND") (footprint "") + ) + (path "/4e7e485e-9e53-4a7f-a160-bd765b3533ad" + (reference "#PWR0117") (unit 1) (value "+5V") (footprint "") + ) + (path "/57ebb5d8-79c5-433b-8612-450a5d6b3808" + (reference "#PWR?") (unit 1) (value "GND") (footprint "") + ) + (path "/acd8e7ec-fd91-40e0-97e3-0a886fe3a641" + (reference "#PWR?") (unit 1) (value "GND") (footprint "") + ) + (path "/823f551e-5ebb-41a4-8610-0e7de0e60d50" + (reference "H1") (unit 1) (value "~") (footprint "MountingHole:MountingHole_3.2mm_M3_Pad_Via") + ) + (path "/c26d45b6-5321-4527-af60-c346f6a46694" + (reference "H2") (unit 1) (value "~") (footprint "MountingHole:MountingHole_3.2mm_M3_Pad_Via") + ) + (path "/25a50650-70b5-4cc3-9537-5ec09dc54111" + (reference "J1") (unit 1) (value "Bus_PC104_16bit") (footprint "local:PC104_16bit") + ) + (path "/ec309164-f7e0-4f37-8830-ab17092a5540" + (reference "J2") (unit 1) (value "Bus_ISA_16bit") (footprint "Connector_PCBEdge:BUS_AT") + ) + ) +) diff --git a/fp-lib-table b/fp-lib-table new file mode 100644 index 0000000..e1352b1 --- /dev/null +++ b/fp-lib-table @@ -0,0 +1,3 @@ +(fp_lib_table + (lib (name "local")(type "KiCad")(uri "${KIPRJMOD}/local.pretty")(options "")(descr "")) +) diff --git a/local.kicad_sym b/local.kicad_sym new file mode 100644 index 0000000..250ee42 --- /dev/null +++ b/local.kicad_sym @@ -0,0 +1,868 @@ +(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor) + (symbol "Bus_ISA_16bit" (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 67.945 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Bus_ISA_16bit" (id 1) (at 0 -65.405 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://en.wikipedia.org/wiki/Industry_Standard_Architecture" (id 3) (at -1.905 -68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "ISA" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "16-bit ISA-AT bus connector" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Bus_ISA_16bit_0_1" + (rectangle (start -15.24 -63.5) (end 15.24 66.04) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "Bus_ISA_16bit_1_1" + (pin power_out line (at -17.78 63.5 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 40.64 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 38.1 0) (length 2.54) + (name "~{SMEMW}" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 35.56 0) (length 2.54) + (name "~{SMEMR}" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 33.02 0) (length 2.54) + (name "~{IOW}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 30.48 0) (length 2.54) + (name "~{IOR}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 27.94 0) (length 2.54) + (name "~{DACK3}" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 25.4 0) (length 2.54) + (name "DRQ3" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 22.86 0) (length 2.54) + (name "~{DACK1}" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 20.32 0) (length 2.54) + (name "DRQ1" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 17.78 0) (length 2.54) + (name "~{REFRESH}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 60.96 0) (length 2.54) + (name "RESET" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 15.24 0) (length 2.54) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 12.7 0) (length 2.54) + (name "IRQ7" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 10.16 0) (length 2.54) + (name "IRQ6" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 7.62 0) (length 2.54) + (name "IRQ5" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 5.08 0) (length 2.54) + (name "IRQ4" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 2.54 0) (length 2.54) + (name "IRQ3" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 0 0) (length 2.54) + (name "~{DACK2}" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -2.54 0) (length 2.54) + (name "TC" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -5.08 0) (length 2.54) + (name "ALE" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 -7.62 0) (length 2.54) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -17.78 58.42 0) (length 2.54) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -10.16 0) (length 2.54) + (name "OSC" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 -12.7 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 63.5 180) (length 2.54) + (name "IO" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 60.96 180) (length 2.54) + (name "DB7" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 58.42 180) (length 2.54) + (name "DB6" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 55.88 180) (length 2.54) + (name "DB5" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 53.34 180) (length 2.54) + (name "DB4" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 50.8 180) (length 2.54) + (name "DB3" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 48.26 180) (length 2.54) + (name "DB2" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 45.72 180) (length 2.54) + (name "DB1" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 55.88 0) (length 2.54) + (name "IRQ2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 43.18 180) (length 2.54) + (name "DB0" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 40.64 180) (length 2.54) + (name "IO_READY" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 38.1 180) (length 2.54) + (name "AEN" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 35.56 180) (length 2.54) + (name "BA19" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 33.02 180) (length 2.54) + (name "BA18" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 30.48 180) (length 2.54) + (name "BA17" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 27.94 180) (length 2.54) + (name "BA16" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 25.4 180) (length 2.54) + (name "BA15" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 22.86 180) (length 2.54) + (name "BA14" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 20.32 180) (length 2.54) + (name "BA13" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -17.78 53.34 0) (length 2.54) + (name "-5V" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 17.78 180) (length 2.54) + (name "BA12" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 15.24 180) (length 2.54) + (name "BA11" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 12.7 180) (length 2.54) + (name "BA10" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 10.16 180) (length 2.54) + (name "BA09" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 7.62 180) (length 2.54) + (name "BA08" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 5.08 180) (length 2.54) + (name "BA07" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 2.54 180) (length 2.54) + (name "BA06" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 0 180) (length 2.54) + (name "BA05" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -2.54 180) (length 2.54) + (name "BA04" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -5.08 180) (length 2.54) + (name "BA03" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 50.8 0) (length 2.54) + (name "DRQ2" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -7.62 180) (length 2.54) + (name "BA02" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -10.16 180) (length 2.54) + (name "BA01" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -12.7 180) (length 2.54) + (name "BA00" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -17.78 0) (length 2.54) + (name "~{MEMCS16}" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -20.32 0) (length 2.54) + (name "~{IOCS16}" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -22.86 0) (length 2.54) + (name "IRQ10" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -25.4 0) (length 2.54) + (name "IRQ11" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -27.94 0) (length 2.54) + (name "IRQ12" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -30.48 0) (length 2.54) + (name "IRQ15" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -33.02 0) (length 2.54) + (name "IRQ14" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -17.78 48.26 0) (length 2.54) + (name "-12V" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -35.56 0) (length 2.54) + (name "~{DACK0}" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -38.1 0) (length 2.54) + (name "DRQ0" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -40.64 0) (length 2.54) + (name "~{DACK5}" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -43.18 0) (length 2.54) + (name "DRQ5" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -45.72 0) (length 2.54) + (name "~{DACK6}" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -48.26 0) (length 2.54) + (name "DRQ6" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -50.8 0) (length 2.54) + (name "~{DACK7}" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -53.34 0) (length 2.54) + (name "DRQ7" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 -55.88 0) (length 2.54) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -58.42 0) (length 2.54) + (name "MASTER" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 45.72 0) (length 2.54) + (name "UNUSED" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 -60.96 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -17.78 180) (length 2.54) + (name "SBHE" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -20.32 180) (length 2.54) + (name "LA23" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -22.86 180) (length 2.54) + (name "LA22" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -25.4 180) (length 2.54) + (name "LA21" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -27.94 180) (length 2.54) + (name "LA20" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -30.48 180) (length 2.54) + (name "LA19" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -33.02 180) (length 2.54) + (name "LA18" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -35.56 180) (length 2.54) + (name "LA17" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -38.1 180) (length 2.54) + (name "~{MEMR}" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -17.78 43.18 0) (length 2.54) + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -40.64 180) (length 2.54) + (name "~{MEMW}" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -43.18 180) (length 2.54) + (name "D8" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -45.72 180) (length 2.54) + (name "D9" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -48.26 180) (length 2.54) + (name "D10" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -50.8 180) (length 2.54) + (name "D11" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -53.34 180) (length 2.54) + (name "D12" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -55.88 180) (length 2.54) + (name "D13" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -58.42 180) (length 2.54) + (name "D14" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -60.96 180) (length 2.54) + (name "D15" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Bus_PC104_16bit" (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 69.215 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Bus_PC104_16bit" (id 1) (at 0 1.27 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "local:PC104_16bit" (id 2) (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://pc104.org/wp-content/uploads/2015/02/PC104_Spec_v2_6.pdf" (id 3) (at 0.635 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "ISA PC/104" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "16-bit PC/104 connector" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Bus_PC104_16bit_0_0" + (pin power_in line (at -20.32 -13.97 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -13.97 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B32" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -20.32 -67.31 0) (length 5.08) + (name "KEY" (effects (font (size 1.27 1.27)))) + (number "C19" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -67.31 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "D19" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "Bus_PC104_16bit_0_1" + (rectangle (start -15.24 66.675) (end 15.24 -71.12) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "Bus_PC104_16bit_1_1" + (pin passive line (at -20.32 64.77 0) (length 5.08) + (name "~{IOCHK}" (effects (font (size 1.27 1.27)))) + (number "A1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 41.91 0) (length 5.08) + (name "IOCHRDY" (effects (font (size 1.27 1.27)))) + (number "A10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -20.32 39.37 0) (length 5.08) + (name "AEN" (effects (font (size 1.27 1.27)))) + (number "A11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 36.83 0) (length 5.08) + (name "SA19" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 34.29 0) (length 5.08) + (name "SA18" (effects (font (size 1.27 1.27)))) + (number "A13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 31.75 0) (length 5.08) + (name "SA17" (effects (font (size 1.27 1.27)))) + (number "A14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 29.21 0) (length 5.08) + (name "SA16" (effects (font (size 1.27 1.27)))) + (number "A15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 26.67 0) (length 5.08) + (name "SA15" (effects (font (size 1.27 1.27)))) + (number "A16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 24.13 0) (length 5.08) + (name "SA14" (effects (font (size 1.27 1.27)))) + (number "A17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 21.59 0) (length 5.08) + (name "SA13" (effects (font (size 1.27 1.27)))) + (number "A18" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 19.05 0) (length 5.08) + (name "SA12" (effects (font (size 1.27 1.27)))) + (number "A19" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 62.23 0) (length 5.08) + (name "SD7" (effects (font (size 1.27 1.27)))) + (number "A2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -8.89 0) (length 5.08) + (name "SA1" (effects (font (size 1.27 1.27)))) + (number "A20" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 16.51 0) (length 5.08) + (name "SA11" (effects (font (size 1.27 1.27)))) + (number "A20" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 13.97 0) (length 5.08) + (name "SA10" (effects (font (size 1.27 1.27)))) + (number "A21" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 11.43 0) (length 5.08) + (name "SA9" (effects (font (size 1.27 1.27)))) + (number "A22" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 8.89 0) (length 5.08) + (name "SA8" (effects (font (size 1.27 1.27)))) + (number "A23" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 6.35 0) (length 5.08) + (name "SA7" (effects (font (size 1.27 1.27)))) + (number "A24" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 3.81 0) (length 5.08) + (name "SA6" (effects (font (size 1.27 1.27)))) + (number "A25" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 1.27 0) (length 5.08) + (name "SA5" (effects (font (size 1.27 1.27)))) + (number "A26" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -1.27 0) (length 5.08) + (name "SA4" (effects (font (size 1.27 1.27)))) + (number "A27" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -3.81 0) (length 5.08) + (name "SA3" (effects (font (size 1.27 1.27)))) + (number "A28" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -6.35 0) (length 5.08) + (name "SA2" (effects (font (size 1.27 1.27)))) + (number "A29" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 59.69 0) (length 5.08) + (name "SD6" (effects (font (size 1.27 1.27)))) + (number "A3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -11.43 0) (length 5.08) + (name "SA0" (effects (font (size 1.27 1.27)))) + (number "A31" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 57.15 0) (length 5.08) + (name "SD5" (effects (font (size 1.27 1.27)))) + (number "A4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 54.61 0) (length 5.08) + (name "SD4" (effects (font (size 1.27 1.27)))) + (number "A5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 52.07 0) (length 5.08) + (name "SD3" (effects (font (size 1.27 1.27)))) + (number "A6" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 49.53 0) (length 5.08) + (name "SD2" (effects (font (size 1.27 1.27)))) + (number "A7" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 46.99 0) (length 5.08) + (name "SD1" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 44.45 0) (length 5.08) + (name "SD0" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 64.77 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B1" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 20.32 41.91 180) (length 5.08) + (name "KEY" (effects (font (size 1.27 1.27)))) + (number "B10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 39.37 180) (length 5.08) + (name "~{SMEMW}" (effects (font (size 1.27 1.27)))) + (number "B11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 36.83 180) (length 5.08) + (name "~{SMEMR}" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 34.29 180) (length 5.08) + (name "~{IOW}" (effects (font (size 1.27 1.27)))) + (number "B13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 31.75 180) (length 5.08) + (name "~{IOR}" (effects (font (size 1.27 1.27)))) + (number "B14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 29.21 180) (length 5.08) + (name "~{DACK3}" (effects (font (size 1.27 1.27)))) + (number "B15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 26.67 180) (length 5.08) + (name "DRQ3" (effects (font (size 1.27 1.27)))) + (number "B16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 24.13 180) (length 5.08) + (name "~{DACK1}" (effects (font (size 1.27 1.27)))) + (number "B17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 21.59 180) (length 5.08) + (name "DRQ1" (effects (font (size 1.27 1.27)))) + (number "B18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 19.05 180) (length 5.08) + (name "~{REFRESH}" (effects (font (size 1.27 1.27)))) + (number "B19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 62.23 180) (length 5.08) + (name "RESET" (effects (font (size 1.27 1.27)))) + (number "B2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 16.51 180) (length 5.08) + (name "BCLK" (effects (font (size 1.27 1.27)))) + (number "B20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 13.97 180) (length 5.08) + (name "IRQ7" (effects (font (size 1.27 1.27)))) + (number "B21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 11.43 180) (length 5.08) + (name "IRQ6" (effects (font (size 1.27 1.27)))) + (number "B22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 8.89 180) (length 5.08) + (name "IRQ5" (effects (font (size 1.27 1.27)))) + (number "B23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 6.35 180) (length 5.08) + (name "IRQ4" (effects (font (size 1.27 1.27)))) + (number "B24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 3.81 180) (length 5.08) + (name "IRQ3" (effects (font (size 1.27 1.27)))) + (number "B25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 1.27 180) (length 5.08) + (name "~{DACK2}" (effects (font (size 1.27 1.27)))) + (number "B26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -1.27 180) (length 5.08) + (name "TC" (effects (font (size 1.27 1.27)))) + (number "B27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -3.81 180) (length 5.08) + (name "BALE" (effects (font (size 1.27 1.27)))) + (number "B28" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -6.35 180) (length 5.08) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 59.69 180) (length 5.08) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -8.89 180) (length 5.08) + (name "OSC" (effects (font (size 1.27 1.27)))) + (number "B30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -11.43 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 57.15 180) (length 5.08) + (name "IRQ9" (effects (font (size 1.27 1.27)))) + (number "B4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 54.61 180) (length 5.08) + (name "-5V" (effects (font (size 1.27 1.27)))) + (number "B5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 52.07 180) (length 5.08) + (name "DRQ2" (effects (font (size 1.27 1.27)))) + (number "B6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 49.53 180) (length 5.08) + (name "-12V" (effects (font (size 1.27 1.27)))) + (number "B7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 46.99 180) (length 5.08) + (name "~{SRDY}" (effects (font (size 1.27 1.27)))) + (number "B8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 44.45 180) (length 5.08) + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "B9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -20.32 -19.05 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -21.59 0) (length 5.08) + (name "~{SBHE}" (effects (font (size 1.27 1.27)))) + (number "C1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -20.32 -44.45 0) (length 5.08) + (name "~{MEMW}" (effects (font (size 1.27 1.27)))) + (number "C10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -46.99 0) (length 5.08) + (name "SD8" (effects (font (size 1.27 1.27)))) + (number "C11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -49.53 0) (length 5.08) + (name "SD9" (effects (font (size 1.27 1.27)))) + (number "C12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -52.07 0) (length 5.08) + (name "SD10" (effects (font (size 1.27 1.27)))) + (number "C13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -54.61 0) (length 5.08) + (name "SD11" (effects (font (size 1.27 1.27)))) + (number "C14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -57.15 0) (length 5.08) + (name "SD12" (effects (font (size 1.27 1.27)))) + (number "C15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -59.69 0) (length 5.08) + (name "SD13" (effects (font (size 1.27 1.27)))) + (number "C16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -62.23 0) (length 5.08) + (name "SD14" (effects (font (size 1.27 1.27)))) + (number "C17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -64.77 0) (length 5.08) + (name "SD15" (effects (font (size 1.27 1.27)))) + (number "C18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -24.13 0) (length 5.08) + (name "LA23" (effects (font (size 1.27 1.27)))) + (number "C2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -26.67 0) (length 5.08) + (name "LA22" (effects (font (size 1.27 1.27)))) + (number "C3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -29.21 0) (length 5.08) + (name "LA21" (effects (font (size 1.27 1.27)))) + (number "C4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -31.75 0) (length 5.08) + (name "LA20" (effects (font (size 1.27 1.27)))) + (number "C5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -34.29 0) (length 5.08) + (name "LA19" (effects (font (size 1.27 1.27)))) + (number "C6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -36.83 0) (length 5.08) + (name "LA18" (effects (font (size 1.27 1.27)))) + (number "C7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -39.37 0) (length 5.08) + (name "LA17" (effects (font (size 1.27 1.27)))) + (number "C8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -20.32 -41.91 0) (length 5.08) + (name "~{MEMR}" (effects (font (size 1.27 1.27)))) + (number "C9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -19.05 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "D0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -21.59 180) (length 5.08) + (name "~{MEMCS16}" (effects (font (size 1.27 1.27)))) + (number "D1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -44.45 180) (length 5.08) + (name "~{DACK5}" (effects (font (size 1.27 1.27)))) + (number "D10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -46.99 180) (length 5.08) + (name "DRQ5" (effects (font (size 1.27 1.27)))) + (number "D11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -49.53 180) (length 5.08) + (name "~{DACK6}" (effects (font (size 1.27 1.27)))) + (number "D12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -52.07 180) (length 5.08) + (name "DRQ6" (effects (font (size 1.27 1.27)))) + (number "D13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -54.61 180) (length 5.08) + (name "~{DACK7}" (effects (font (size 1.27 1.27)))) + (number "D14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -57.15 180) (length 5.08) + (name "DRQ7" (effects (font (size 1.27 1.27)))) + (number "D15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -59.69 180) (length 5.08) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "D16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -62.23 180) (length 5.08) + (name "~{MASTER}" (effects (font (size 1.27 1.27)))) + (number "D17" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -64.77 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "D18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -24.13 180) (length 5.08) + (name "~{IOCS16}" (effects (font (size 1.27 1.27)))) + (number "D2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -26.67 180) (length 5.08) + (name "IRQ10" (effects (font (size 1.27 1.27)))) + (number "D3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -29.21 180) (length 5.08) + (name "IRQ11" (effects (font (size 1.27 1.27)))) + (number "D4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -31.75 180) (length 5.08) + (name "IRQ12" (effects (font (size 1.27 1.27)))) + (number "D5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -34.29 180) (length 5.08) + (name "IRQ15" (effects (font (size 1.27 1.27)))) + (number "D6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -36.83 180) (length 5.08) + (name "IRQ14" (effects (font (size 1.27 1.27)))) + (number "D7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -39.37 180) (length 5.08) + (name "~{DACK0}" (effects (font (size 1.27 1.27)))) + (number "D8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -41.91 180) (length 5.08) + (name "DRQ0" (effects (font (size 1.27 1.27)))) + (number "D9" (effects (font (size 1.27 1.27)))) + ) + ) + ) +) diff --git a/local.pretty/PC104_16bit.kicad_mod b/local.pretty/PC104_16bit.kicad_mod new file mode 100644 index 0000000..9cc30eb --- /dev/null +++ b/local.pretty/PC104_16bit.kicad_mod @@ -0,0 +1,134 @@ +(footprint "PC104_16bit" (version 20211014) (generator pcbnew) + (layer "F.Cu") + (tedit 59FED5CC) + (attr through_hole) + (fp_text reference "REF**" (at -11.43 -4.02 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1a123fcc-56ac-435f-a0f8-036ddb197998) + ) + (fp_text value "PC104_16bit" (at -11.43 -87.42 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0ac9ec35-de84-4cfb-a8a4-17e9a4f5a431) + ) + (fp_text user "${REFERENCE}" (at -11.43 -45.72 270) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5bed8c87-4f25-43ea-aaf8-ab548f36cde8) + ) + (fp_line (start 0 -90.17) (end -95.89 -90.17) (layer "F.SilkS") (width 0.12) (tstamp 12e6a7f8-1be5-465d-befa-5f23ac22657c)) + (fp_line (start 0 0) (end -95.89 0) (layer "F.SilkS") (width 0.12) (tstamp 8058ec28-4f39-4ab5-84eb-feebf1f3ca13)) + (fp_line (start -95.89 -90.17) (end -95.89 0) (layer "F.SilkS") (width 0.12) (tstamp a6020e18-d45e-49c3-b8c7-76bfcb6ecb78)) + (fp_line (start 0 0) (end 0 -90.17) (layer "F.SilkS") (width 0.12) (tstamp ecfb114c-116c-48e2-b629-b89b9bebe1a1)) + (pad "" thru_hole circle (at -5.08 -5.08) (size 6.35 6.35) (drill 3.18) (layers *.Cu *.Mask) (tstamp 327aaf91-33d3-40cb-a489-732b290d5dcc)) + (pad "" thru_hole circle (at -90.81 -8.89) (size 6.35 6.35) (drill 3.18) (layers *.Cu *.Mask) (tstamp 55958b25-fdc3-4c7d-99a2-9dfa18039764)) + (pad "" thru_hole circle (at -5.08 -85.09) (size 6.35 6.35) (drill 3.18) (layers *.Cu *.Mask) (tstamp 778bab1d-dac2-4f11-b70d-350570b32567)) + (pad "" thru_hole circle (at -90.81 -82.55) (size 6.35 6.35) (drill 3.18) (layers *.Cu *.Mask) (tstamp fc3d76b7-b275-47cf-be62-5d5534b0b6a8)) + (pad "A1" thru_hole rect (at -10.16 -6.35 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 0771e530-de42-46f0-a4cc-a14b3a0d460a)) + (pad "A2" thru_hole oval (at -10.16 -8.89 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp bd931a7e-6598-4426-9432-8b5ecb3734b0)) + (pad "A3" thru_hole oval (at -10.16 -11.43 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 8c44920c-fbc9-4f1d-bd4d-3c62b6ff7095)) + (pad "A4" thru_hole oval (at -10.16 -13.97 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp d5439a3b-9e01-4d92-9b6f-fef1844f067d)) + (pad "A5" thru_hole oval (at -10.16 -16.51 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp bcd766ce-06a4-4aae-be64-a7533fb4dae8)) + (pad "A6" thru_hole oval (at -10.16 -19.05 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 19db15d3-5490-4bee-b5b9-d1a84003fafd)) + (pad "A7" thru_hole oval (at -10.16 -21.59 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 3f1da03e-dacb-4f8a-809a-2a2e80b95464)) + (pad "A8" thru_hole oval (at -10.16 -24.13 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 0348d3a2-f1eb-4278-a9cf-83fdb14e29a8)) + (pad "A9" thru_hole oval (at -10.16 -26.67 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 71fb720c-d2c3-4fa2-9bef-87460d18a871)) + (pad "A10" thru_hole oval (at -10.16 -29.21 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 3262c37b-81d7-4b4e-8681-d52bcdd0cd5d)) + (pad "A11" thru_hole oval (at -10.16 -31.75 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp c1bef9d7-1161-42b0-a55e-ddd4b63935ed)) + (pad "A12" thru_hole oval (at -10.16 -34.29 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp c978e77d-4d8f-44ba-8e63-1622e49c9812)) + (pad "A13" thru_hole oval (at -10.16 -36.83 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 4f534b3a-077c-4913-8fb6-d662853be108)) + (pad "A14" thru_hole oval (at -10.16 -39.37 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 452e9b34-ed72-407a-b56e-8a1e68a60c88)) + (pad "A15" thru_hole oval (at -10.16 -41.91 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 9ce662ac-2824-442c-a0b8-d55099c09690)) + (pad "A16" thru_hole oval (at -10.16 -44.45 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp e69d4c0d-9bad-4048-b08b-788fbd5dbab8)) + (pad "A17" thru_hole oval (at -10.16 -46.99 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp dfe4cee2-ee6f-43a2-b157-412a9f6db303)) + (pad "A18" thru_hole oval (at -10.16 -49.53 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 13b3da1f-751d-4f35-91a1-04cfa9fea2ec)) + (pad "A19" thru_hole oval (at -10.16 -52.07 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 75de71da-b80c-4d7f-bfee-0e9c8ce038a9)) + (pad "A20" thru_hole oval (at -10.16 -54.61 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 799df9ae-d923-44b2-853e-da09e43b0188)) + (pad "A21" thru_hole oval (at -10.16 -57.15 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 6d71af36-8f19-43a6-8344-b736d5942836)) + (pad "A22" thru_hole oval (at -10.16 -59.69 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp cc84bbf2-b660-45f2-987f-71bb161f8f35)) + (pad "A23" thru_hole oval (at -10.16 -62.23 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 6d276683-4264-4fdf-a031-7868d4dbe535)) + (pad "A24" thru_hole oval (at -10.16 -64.77 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 53efd5fa-4392-4d99-97b4-71c3867d2ea2)) + (pad "A25" thru_hole oval (at -10.16 -67.31 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 81829b7a-d3bc-47c2-979c-41e2a141e1bb)) + (pad "A26" thru_hole oval (at -10.16 -69.85 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 42527ea7-85d0-4265-a2bc-c4842cc9c396)) + (pad "A27" thru_hole oval (at -10.16 -72.39 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp da3c08b9-3398-4476-b196-3f11c6e07d85)) + (pad "A28" thru_hole oval (at -10.16 -74.93 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 2686ada9-89bb-4ea3-8463-9299b93470c6)) + (pad "A29" thru_hole oval (at -10.16 -77.47 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 3ca3b5b2-69bb-4e20-b1e0-6014cc184945)) + (pad "A30" thru_hole oval (at -10.16 -80.01 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp ca3157d7-8c3d-4a35-b4bc-52b70405503d)) + (pad "A31" thru_hole oval (at -10.16 -82.55 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp b7d66c47-68bf-4aab-bfc0-cfb2bb9f60be)) + (pad "A32" thru_hole oval (at -10.16 -85.09 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp e8fd6ad4-237b-4f97-8f39-13493a5d03ad)) + (pad "B1" thru_hole rect (at -12.7 -6.35 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 3d49e39a-3c8a-4853-9b92-31622b0d386f)) + (pad "B2" thru_hole oval (at -12.7 -8.89 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 9b275bff-8f02-4b01-a3f3-5a152d850bc6)) + (pad "B3" thru_hole oval (at -12.7 -11.43 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 67c08536-a4ff-43e1-8b8a-76c90c94f3c1)) + (pad "B4" thru_hole oval (at -12.7 -13.97 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 1b512ad9-4f78-42c3-8734-0e48ab0d8930)) + (pad "B5" thru_hole oval (at -12.7 -16.51 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 56f657a6-e8f5-4cdb-aadd-dbd201c3ccfd)) + (pad "B6" thru_hole oval (at -12.7 -19.05 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp cb2160d1-c0b7-4bb5-b900-b1d118546382)) + (pad "B7" thru_hole oval (at -12.7 -21.59 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 6af3a97b-6ea9-4642-8cb1-29e1dbe579b4)) + (pad "B8" thru_hole oval (at -12.7 -24.13 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 5737a2b7-0a89-4fa4-ad06-4cd28d495a62)) + (pad "B9" thru_hole oval (at -12.7 -26.67 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 43ab15df-42db-4737-ae18-d3527d2a9017)) + (pad "B10" thru_hole oval (at -12.7 -29.21 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 026a3fc3-f83d-4ba7-9ef8-9f7ba2b04fd1)) + (pad "B11" thru_hole oval (at -12.7 -31.75 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 9f2ba84c-e6c0-4e73-9601-db532ef5f848)) + (pad "B12" thru_hole oval (at -12.7 -34.29 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 4e1c2900-724a-4f4d-a01d-03a362dd334c)) + (pad "B13" thru_hole oval (at -12.7 -36.83 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp f3b248b0-f91f-4cfb-9654-c66e0cf23b13)) + (pad "B14" thru_hole oval (at -12.7 -39.37 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 96056434-f3a3-4293-bb1d-fd5f4b035386)) + (pad "B15" thru_hole oval (at -12.7 -41.91 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp f2801bf2-3ff8-417c-a57e-adfe6cd0f50a)) + (pad "B16" thru_hole oval (at -12.7 -44.45 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 398f1db3-d949-4be7-9285-42f58b6000c3)) + (pad "B17" thru_hole oval (at -12.7 -46.99 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp f0819304-89a5-4d98-a014-a88a9e095d2b)) + (pad "B18" thru_hole oval (at -12.7 -49.53 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 35eabe18-db16-4be0-bb0e-624965ff51f3)) + (pad "B19" thru_hole oval (at -12.7 -52.07 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 624687ce-7266-416d-b4ff-05d54a64d2fe)) + (pad "B20" thru_hole oval (at -12.7 -54.61 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp efbf59f7-4b10-4c00-9d0b-89b517a87fd1)) + (pad "B21" thru_hole oval (at -12.7 -57.15 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp f0b4e1fa-2f5d-4ee6-8305-05a9cccde88d)) + (pad "B22" thru_hole oval (at -12.7 -59.69 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 98cb3137-f90f-4011-8b78-74f8d64363c3)) + (pad "B23" thru_hole oval (at -12.7 -62.23 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 05ca866a-8cad-4ee4-b6c2-c1625f86abf1)) + (pad "B24" thru_hole oval (at -12.7 -64.77 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp c3dd75e7-eac9-4738-9403-981a0e37ec32)) + (pad "B25" thru_hole oval (at -12.7 -67.31 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 4608185c-f56f-4eb1-ac57-a7139a12b68d)) + (pad "B26" thru_hole oval (at -12.7 -69.85 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 63d281f5-8dbb-4c0f-b6f3-1bc2954ebd1b)) + (pad "B27" thru_hole oval (at -12.7 -72.39 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp e4158e5a-663a-4cda-8099-ce8d97a790f2)) + (pad "B28" thru_hole oval (at -12.7 -74.93 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp c6b1536a-dc86-4446-b080-9b257d098963)) + (pad "B29" thru_hole oval (at -12.7 -77.47 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 1987f897-997d-46e2-aff8-e85be8f052cb)) + (pad "B30" thru_hole oval (at -12.7 -80.01 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp c4d2033b-a158-479d-818b-b1f58b42b401)) + (pad "B31" thru_hole oval (at -12.7 -82.55 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 06b641ee-070a-471d-8a53-4631b5f90a1b)) + (pad "B32" thru_hole oval (at -12.7 -85.09 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 875d6f88-dd4a-4d4c-b861-4c5ab2b409c6)) + (pad "C0" thru_hole oval (at -7.62 -26.67 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 0b57b5ed-0c7b-4592-89cc-e23677e5832a)) + (pad "C1" thru_hole rect (at -7.62 -29.21 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 877d4af2-206e-4956-84ae-fad9b75e1cc8)) + (pad "C2" thru_hole oval (at -7.62 -31.75 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 5c23fc99-1080-4010-88eb-7889eb4983b8)) + (pad "C3" thru_hole oval (at -7.62 -34.29 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 50e2430e-a55f-486a-abcf-a30d72af594a)) + (pad "C4" thru_hole oval (at -7.62 -36.83 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp ef3e1a1e-83c4-49d8-89e9-43b81feecb0f)) + (pad "C5" thru_hole oval (at -7.62 -39.37 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp a35f6dd2-c370-49ee-9a90-6856a7fc4d02)) + (pad "C6" thru_hole oval (at -7.62 -41.91 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp fc2bf354-9e0b-417b-87d9-1e880c7127c0)) + (pad "C7" thru_hole oval (at -7.62 -44.45 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp baa74305-bd5a-49c5-825c-4b59b0761d64)) + (pad "C8" thru_hole oval (at -7.62 -46.99 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp b77e0b40-c3b8-4c4d-a9f9-34232ab453ba)) + (pad "C9" thru_hole oval (at -7.62 -49.53 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp eda4e0a7-0f4b-439f-bed2-efb90e00f6c1)) + (pad "C10" thru_hole oval (at -7.62 -52.07 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 4a7daef9-22cb-4d0a-bb50-025e10e9e801)) + (pad "C11" thru_hole oval (at -7.62 -54.61 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp eb0e8847-a8cb-445d-8d9a-e01faba83820)) + (pad "C12" thru_hole oval (at -7.62 -57.15 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 5d5fb3ae-a765-4f1a-ab56-ac24d376ad85)) + (pad "C13" thru_hole oval (at -7.62 -59.69 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 571a8237-f5f7-4ed7-bf9b-4737e9fe90d7)) + (pad "C14" thru_hole oval (at -7.62 -62.23 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp cf596797-3155-469d-b189-3df41ef4c40f)) + (pad "C15" thru_hole oval (at -7.62 -64.77 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 5f51b563-2a26-4caa-a729-50e2023b6cd5)) + (pad "C16" thru_hole oval (at -7.62 -67.31 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 4ba916c2-99db-4c79-8839-18c3ce37196e)) + (pad "C17" thru_hole oval (at -7.62 -69.85 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp cf6b6974-4400-44d2-99d1-562fd07b4fb5)) + (pad "C18" thru_hole oval (at -7.62 -72.39 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 7b31335d-4007-4987-9395-cf699330c15f)) + (pad "C19" thru_hole oval (at -7.62 -74.93 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 81592aa6-f08d-4bbe-8e93-ed52bd1812b6)) + (pad "D0" thru_hole oval (at -5.08 -26.67 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 6b2b753e-b2d4-4bcb-bfea-b974a51b4f9b)) + (pad "D1" thru_hole rect (at -5.08 -29.21 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp e2d70834-d1ae-4457-8576-32b20a4180f7)) + (pad "D2" thru_hole oval (at -5.08 -31.75 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 0e09dfc1-e873-4e7e-b4ad-390accbbddfa)) + (pad "D3" thru_hole oval (at -5.08 -34.29 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 21b1e28e-5ceb-4496-867f-19768acdc28e)) + (pad "D4" thru_hole oval (at -5.08 -36.83 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp b93c13e1-ba5f-476b-a5f3-98442a5bb465)) + (pad "D5" thru_hole oval (at -5.08 -39.37 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp d96eda98-65dd-4cfb-90c3-b6d7eb0fe185)) + (pad "D6" thru_hole oval (at -5.08 -41.91 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 8a54bfde-97f8-4ffd-b2e7-3780f00dcc1f)) + (pad "D7" thru_hole oval (at -5.08 -44.45 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 20f86a33-7513-4430-a265-0505e0274941)) + (pad "D8" thru_hole oval (at -5.08 -46.99 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 1d22ac58-fc14-4759-9e7f-050d0f12682e)) + (pad "D9" thru_hole oval (at -5.08 -49.53 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 1a0d66ee-aebf-4c4f-99bc-92aff1dc541b)) + (pad "D10" thru_hole oval (at -5.08 -52.07 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp add1d332-8903-4c73-928a-1ec2dc7e91cd)) + (pad "D11" thru_hole oval (at -5.08 -54.61 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 99faaef9-9cdd-4307-b8ad-da7679615232)) + (pad "D12" thru_hole oval (at -5.08 -57.15 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp e6656851-b55a-4537-9478-dbdf98dab1a3)) + (pad "D13" thru_hole oval (at -5.08 -59.69 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp a97696de-87e0-4cc0-96fa-553212b22344)) + (pad "D14" thru_hole oval (at -5.08 -62.23 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 7c865eec-bfa4-4e6b-97e6-ab5a619d99a0)) + (pad "D15" thru_hole oval (at -5.08 -64.77 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp a7ab1a5d-84f2-4899-9d67-89348912b8e9)) + (pad "D16" thru_hole oval (at -5.08 -67.31 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp fa133e99-dbaf-45ec-8005-671699c83727)) + (pad "D17" thru_hole oval (at -5.08 -69.85 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 80a90699-40c1-4d7a-86a8-8815e1f3eebf)) + (pad "D18" thru_hole oval (at -5.08 -72.39 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp ecbf6a18-31ea-44ca-8bca-e9caa2e0a47a)) + (pad "D19" thru_hole oval (at -5.08 -74.93 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 6424cb0d-dc10-47df-aa36-2c5103bda6d1)) + (model "${KIPRJMOD}/packages3D/c-1375795-01-h-3d.stp" + (offset (xyz -8.89 45.72 11.05)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) +) diff --git a/packages3D/c-1375795-01-h-3d.stp b/packages3D/c-1375795-01-h-3d.stp new file mode 100644 index 0000000..ed79eac --- /dev/null +++ b/packages3D/c-1375795-01-h-3d.stp @@ -0,0 +1,20266 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('C-1375795-01-3','2007-09-04T',('workeradm'),( +'Tyco Electronics Corporation'), +'PRO/ENGINEER BY PARAMETRIC TECHNOLOGY CORPORATION, 2005450', +'PRO/ENGINEER BY PARAMETRIC TECHNOLOGY CORPORATION, 2005450',''); +FILE_SCHEMA(('CONFIG_CONTROL_DESIGN', 'GEOMETRIC_VALIDATION_PROPERTIES_MIM')); +ENDSEC; +DATA; +#1=DRAUGHTING_PRE_DEFINED_COLOUR('black'); +#2=COLOUR_RGB('',0.E0,0.E0,3.6E-1); +#3=COLOUR_RGB('',0.E0,0.E0,4.8E-1); +#4=DRAUGHTING_PRE_DEFINED_COLOUR('blue'); +#5=COLOUR_RGB('',0.E0,3.6E-1,9.E-2); +#6=COLOUR_RGB('',0.E0,3.6E-1,2.7E-1); +#7=COLOUR_RGB('',0.E0,5.6E-1,6.4E-1); +#8=COLOUR_RGB('',0.E0,5.8E-1,8.E-1); +#9=COLOUR_RGB('',0.E0,7.1E-1,6.6E-1); +#10=COLOUR_RGB('',0.E0,7.13E-1,0.E0); +#11=COLOUR_RGB('',0.E0,9.5E-1,8.4E-1); +#12=DRAUGHTING_PRE_DEFINED_COLOUR('green'); +#13=DRAUGHTING_PRE_DEFINED_COLOUR('cyan'); +#14=COLOUR_RGB('',4.E-2,0.E0,1.6E-1); +#15=COLOUR_RGB('',9.E-2,0.E0,1.2E-1); +#16=COLOUR_RGB('',1.E-1,0.E0,2.5E-1); +#17=COLOUR_RGB('',1.6E-1,3.2E-2,0.E0); +#18=COLOUR_RGB('',1.6E-1,4.6E-1,6.5E-1); +#19=COLOUR_RGB('',1.6E-1,1.E0,1.6E-1); +#20=COLOUR_RGB('',2.E-1,3.2E-2,0.E0); +#21=COLOUR_RGB('',3.1E-1,3.1E-1,5.6E-1); +#22=COLOUR_RGB('',3.2E-1,1.5E-1,0.E0); +#23=COLOUR_RGB('',4.E-1,4.E-1,4.E-1); +#24=COLOUR_RGB('',4.2E-1,4.2E-1,1.E0); +#25=COLOUR_RGB('',4.4E-1,8.5E-1,1.E0); +#26=COLOUR_RGB('',4.9E-1,4.9E-1,0.E0); +#27=COLOUR_RGB('',4.9E-1,1.E0,0.E0); +#28=COLOUR_RGB('',5.E-1,0.E0,0.E0); +#29=COLOUR_RGB('',5.E-1,0.E0,2.3E-1); +#30=COLOUR_RGB('',5.E-1,5.E-1,5.E-1); +#31=COLOUR_RGB('',5.3E-1,0.E0,0.E0); +#32=COLOUR_RGB('',5.4E-1,0.E0,4.4E-1); +#33=COLOUR_RGB('',5.5E-1,3.E-1,0.E0); +#34=COLOUR_RGB('',5.6E-1,1.E-1,5.E-2); +#35=COLOUR_RGB('',5.8E-1,2.2E-1,1.E-2); +#36=COLOUR_RGB('',6.E-1,6.E-1,6.E-1); +#37=COLOUR_RGB('',6.2E-1,0.E0,5.5E-1); +#38=COLOUR_RGB('',6.4E-1,0.E0,2.5E-1); +#39=COLOUR_RGB('',6.4E-1,1.E-2,0.E0); +#40=COLOUR_RGB('',6.8E-1,7.5E-1,1.E0); +#41=COLOUR_RGB('',7.1E-1,3.1E-1,0.E0); +#42=COLOUR_RGB('',7.2E-1,7.2E-1,7.2E-1); +#43=COLOUR_RGB('',7.4E-1,1.E0,2.6E-1); +#44=COLOUR_RGB('',7.5E-1,3.E-2,0.E0); +#45=COLOUR_RGB('',7.6E-1,0.E0,4.7E-1); +#46=COLOUR_RGB('',8.1E-1,4.E-2,0.E0); +#47=COLOUR_RGB('',8.1E-1,6.1E-1,0.E0); +#48=COLOUR_RGB('',8.2E-1,4.E-2,6.6E-1); +#49=COLOUR_RGB('',8.5E-1,8.5E-1,8.5E-1); +#50=COLOUR_RGB('',8.784E-1,9.49E-1,1.E0); +#51=COLOUR_RGB('',9.E-1,1.E0,0.E0); +#52=COLOUR_RGB('',9.37E-1,7.85E-1,0.E0); +#53=COLOUR_RGB('',9.5E-1,2.2E-1,8.1E-1); +#54=COLOUR_RGB('',9.6E-1,3.7E-1,3.E-1); +#55=COLOUR_RGB('',9.6E-1,7.1E-1,3.75E-1); +#56=DRAUGHTING_PRE_DEFINED_COLOUR('red'); +#57=COLOUR_RGB('',1.E0,0.E0,6.1E-1); +#58=COLOUR_RGB('',1.E0,4.E-2,0.E0); +#59=COLOUR_RGB('',1.E0,2.3E-1,0.E0); +#60=COLOUR_RGB('',1.E0,3.5E-1,5.2E-1); +#61=COLOUR_RGB('',1.E0,3.6E-1,0.E0); +#62=COLOUR_RGB('',1.E0,4.9E-1,3.7E-1); +#63=COLOUR_RGB('',1.E0,5.E-1,0.E0); +#64=COLOUR_RGB('',1.E0,5.6E-1,7.8E-1); +#65=COLOUR_RGB('',1.E0,6.4E-1,4.9E-1); +#66=COLOUR_RGB('',1.E0,6.7E-1,0.E0); +#67=COLOUR_RGB('',1.E0,7.6E-1,6.5E-1); +#68=COLOUR_RGB('',1.E0,8.6E-1,4.E-2); +#69=COLOUR_RGB('',1.E0,9.8E-1,7.2E-1); +#70=DRAUGHTING_PRE_DEFINED_COLOUR('yellow'); +#71=DRAUGHTING_PRE_DEFINED_COLOUR('white'); +#72=DIRECTION('',(0.E0,-1.E0,0.E0)); +#73=VECTOR('',#72,4.95E0); +#74=CARTESIAN_POINT('',(-4.08E1,4.95E0,0.E0)); +#75=LINE('',#74,#73); +#76=DIRECTION('',(-1.E0,0.E0,0.E0)); +#77=VECTOR('',#76,8.16E1); +#78=CARTESIAN_POINT('',(4.08E1,4.95E0,0.E0)); +#79=LINE('',#78,#77); +#80=DIRECTION('',(0.E0,1.E0,0.E0)); +#81=VECTOR('',#80,4.95E0); +#82=CARTESIAN_POINT('',(4.08E1,0.E0,0.E0)); +#83=LINE('',#82,#81); +#84=DIRECTION('',(1.E0,0.E0,0.E0)); +#85=VECTOR('',#84,1.016E1); +#86=CARTESIAN_POINT('',(3.064E1,0.E0,0.E0)); +#87=LINE('',#86,#85); +#88=CARTESIAN_POINT('',(3.064E1,-4.95E0,0.E0)); +#89=LINE('',#88,#81); +#90=VECTOR('',#84,5.111E1); +#91=CARTESIAN_POINT('',(-2.047E1,-4.95E0,0.E0)); +#92=LINE('',#91,#90); +#93=CARTESIAN_POINT('',(-2.047E1,0.E0,0.E0)); +#94=LINE('',#93,#73); +#95=VECTOR('',#84,2.033E1); +#96=CARTESIAN_POINT('',(-4.08E1,0.E0,0.E0)); +#97=LINE('',#96,#95); +#98=VECTOR('',#72,1.49E0); +#99=CARTESIAN_POINT('',(-4.0115E1,4.555E0,0.E0)); +#100=LINE('',#99,#98); +#101=VECTOR('',#84,1.49E0); +#102=CARTESIAN_POINT('',(-4.0115E1,3.065E0,0.E0)); +#103=LINE('',#102,#101); +#104=VECTOR('',#80,1.49E0); +#105=CARTESIAN_POINT('',(-3.8625E1,3.065E0,0.E0)); +#106=LINE('',#105,#104); +#107=VECTOR('',#76,1.49E0); +#108=CARTESIAN_POINT('',(-3.8625E1,4.555E0,0.E0)); +#109=LINE('',#108,#107); +#110=CARTESIAN_POINT('',(-3.7575E1,4.555E0,0.E0)); +#111=LINE('',#110,#98); +#112=CARTESIAN_POINT('',(-3.7575E1,3.065E0,0.E0)); +#113=LINE('',#112,#101); +#114=CARTESIAN_POINT('',(-3.6085E1,3.065E0,0.E0)); +#115=LINE('',#114,#104); +#116=CARTESIAN_POINT('',(-3.6085E1,4.555E0,0.E0)); +#117=LINE('',#116,#107); +#118=CARTESIAN_POINT('',(-3.5035E1,4.555E0,0.E0)); +#119=LINE('',#118,#98); +#120=CARTESIAN_POINT('',(-3.5035E1,3.065E0,0.E0)); +#121=LINE('',#120,#101); +#122=CARTESIAN_POINT('',(-3.3545E1,3.065E0,0.E0)); +#123=LINE('',#122,#104); +#124=CARTESIAN_POINT('',(-3.3545E1,4.555E0,0.E0)); +#125=LINE('',#124,#107); +#126=CARTESIAN_POINT('',(-3.2495E1,4.555E0,0.E0)); +#127=LINE('',#126,#98); +#128=CARTESIAN_POINT('',(-3.2495E1,3.065E0,0.E0)); +#129=LINE('',#128,#101); +#130=CARTESIAN_POINT('',(-3.1005E1,3.065E0,0.E0)); +#131=LINE('',#130,#104); +#132=CARTESIAN_POINT('',(-3.1005E1,4.555E0,0.E0)); +#133=LINE('',#132,#107); +#134=CARTESIAN_POINT('',(-2.9955E1,4.555E0,0.E0)); +#135=LINE('',#134,#98); +#136=CARTESIAN_POINT('',(-2.9955E1,3.065E0,0.E0)); +#137=LINE('',#136,#101); +#138=CARTESIAN_POINT('',(-2.8465E1,3.065E0,0.E0)); +#139=LINE('',#138,#104); +#140=CARTESIAN_POINT('',(-2.8465E1,4.555E0,0.E0)); +#141=LINE('',#140,#107); +#142=CARTESIAN_POINT('',(-2.7415E1,4.555E0,0.E0)); +#143=LINE('',#142,#98); +#144=CARTESIAN_POINT('',(-2.7415E1,3.065E0,0.E0)); +#145=LINE('',#144,#101); +#146=CARTESIAN_POINT('',(-2.5925E1,3.065E0,0.E0)); +#147=LINE('',#146,#104); +#148=CARTESIAN_POINT('',(-2.5925E1,4.555E0,0.E0)); +#149=LINE('',#148,#107); +#150=CARTESIAN_POINT('',(-2.4875E1,4.555E0,0.E0)); +#151=LINE('',#150,#98); +#152=CARTESIAN_POINT('',(-2.4875E1,3.065E0,0.E0)); +#153=LINE('',#152,#101); +#154=CARTESIAN_POINT('',(-2.3385E1,3.065E0,0.E0)); +#155=LINE('',#154,#104); +#156=CARTESIAN_POINT('',(-2.3385E1,4.555E0,0.E0)); +#157=LINE('',#156,#107); +#158=CARTESIAN_POINT('',(-2.2335E1,4.555E0,0.E0)); +#159=LINE('',#158,#98); +#160=CARTESIAN_POINT('',(-2.2335E1,3.065E0,0.E0)); +#161=LINE('',#160,#101); +#162=CARTESIAN_POINT('',(-2.0845E1,3.065E0,0.E0)); +#163=LINE('',#162,#104); +#164=CARTESIAN_POINT('',(-2.0845E1,4.555E0,0.E0)); +#165=LINE('',#164,#107); +#166=CARTESIAN_POINT('',(-1.9795E1,4.555E0,0.E0)); +#167=LINE('',#166,#98); +#168=CARTESIAN_POINT('',(-1.9795E1,3.065E0,0.E0)); +#169=LINE('',#168,#101); +#170=CARTESIAN_POINT('',(-1.8305E1,3.065E0,0.E0)); +#171=LINE('',#170,#104); +#172=CARTESIAN_POINT('',(-1.8305E1,4.555E0,0.E0)); +#173=LINE('',#172,#107); +#174=CARTESIAN_POINT('',(-1.4715E1,4.555E0,0.E0)); +#175=LINE('',#174,#98); +#176=CARTESIAN_POINT('',(-1.4715E1,3.065E0,0.E0)); +#177=LINE('',#176,#101); +#178=CARTESIAN_POINT('',(-1.3225E1,3.065E0,0.E0)); +#179=LINE('',#178,#104); +#180=CARTESIAN_POINT('',(-1.3225E1,4.555E0,0.E0)); +#181=LINE('',#180,#107); +#182=CARTESIAN_POINT('',(-1.2175E1,4.555E0,0.E0)); +#183=LINE('',#182,#98); +#184=CARTESIAN_POINT('',(-1.2175E1,3.065E0,0.E0)); +#185=LINE('',#184,#101); +#186=CARTESIAN_POINT('',(-1.0685E1,3.065E0,0.E0)); +#187=LINE('',#186,#104); +#188=CARTESIAN_POINT('',(-1.0685E1,4.555E0,0.E0)); +#189=LINE('',#188,#107); +#190=CARTESIAN_POINT('',(-9.635E0,4.555E0,0.E0)); +#191=LINE('',#190,#98); +#192=CARTESIAN_POINT('',(-9.635E0,3.065E0,0.E0)); +#193=LINE('',#192,#101); +#194=CARTESIAN_POINT('',(-8.145E0,3.065E0,0.E0)); +#195=LINE('',#194,#104); +#196=CARTESIAN_POINT('',(-8.145E0,4.555E0,0.E0)); +#197=LINE('',#196,#107); +#198=CARTESIAN_POINT('',(-7.095E0,4.555E0,0.E0)); +#199=LINE('',#198,#98); +#200=CARTESIAN_POINT('',(-7.095E0,3.065E0,0.E0)); +#201=LINE('',#200,#101); +#202=CARTESIAN_POINT('',(-5.605E0,3.065E0,0.E0)); +#203=LINE('',#202,#104); +#204=CARTESIAN_POINT('',(-5.605E0,4.555E0,0.E0)); +#205=LINE('',#204,#107); +#206=CARTESIAN_POINT('',(-4.555E0,4.555E0,0.E0)); +#207=LINE('',#206,#98); +#208=CARTESIAN_POINT('',(-4.555E0,3.065E0,0.E0)); +#209=LINE('',#208,#101); +#210=CARTESIAN_POINT('',(-3.065E0,3.065E0,0.E0)); +#211=LINE('',#210,#104); +#212=CARTESIAN_POINT('',(-3.065E0,4.555E0,0.E0)); +#213=LINE('',#212,#107); +#214=CARTESIAN_POINT('',(-2.015E0,4.555E0,0.E0)); +#215=LINE('',#214,#98); +#216=CARTESIAN_POINT('',(-2.015E0,3.065E0,0.E0)); +#217=LINE('',#216,#101); +#218=CARTESIAN_POINT('',(-5.25E-1,3.065E0,0.E0)); +#219=LINE('',#218,#104); +#220=CARTESIAN_POINT('',(-5.25E-1,4.555E0,0.E0)); +#221=LINE('',#220,#107); +#222=CARTESIAN_POINT('',(5.25E-1,4.555E0,0.E0)); +#223=LINE('',#222,#98); +#224=CARTESIAN_POINT('',(5.25E-1,3.065E0,0.E0)); +#225=LINE('',#224,#101); +#226=CARTESIAN_POINT('',(2.015E0,3.065E0,0.E0)); +#227=LINE('',#226,#104); +#228=CARTESIAN_POINT('',(2.015E0,4.555E0,0.E0)); +#229=LINE('',#228,#107); +#230=CARTESIAN_POINT('',(3.065E0,4.555E0,0.E0)); +#231=LINE('',#230,#98); +#232=CARTESIAN_POINT('',(3.065E0,3.065E0,0.E0)); +#233=LINE('',#232,#101); +#234=CARTESIAN_POINT('',(4.555E0,3.065E0,0.E0)); +#235=LINE('',#234,#104); +#236=CARTESIAN_POINT('',(4.555E0,4.555E0,0.E0)); +#237=LINE('',#236,#107); +#238=CARTESIAN_POINT('',(5.605E0,4.555E0,0.E0)); +#239=LINE('',#238,#98); +#240=CARTESIAN_POINT('',(5.605E0,3.065E0,0.E0)); +#241=LINE('',#240,#101); +#242=CARTESIAN_POINT('',(7.095E0,3.065E0,0.E0)); +#243=LINE('',#242,#104); +#244=CARTESIAN_POINT('',(7.095E0,4.555E0,0.E0)); +#245=LINE('',#244,#107); +#246=CARTESIAN_POINT('',(8.145E0,4.555E0,0.E0)); +#247=LINE('',#246,#98); +#248=CARTESIAN_POINT('',(8.145E0,3.065E0,0.E0)); +#249=LINE('',#248,#101); +#250=CARTESIAN_POINT('',(9.635E0,3.065E0,0.E0)); +#251=LINE('',#250,#104); +#252=CARTESIAN_POINT('',(9.635E0,4.555E0,0.E0)); +#253=LINE('',#252,#107); +#254=CARTESIAN_POINT('',(1.0685E1,4.555E0,0.E0)); +#255=LINE('',#254,#98); +#256=CARTESIAN_POINT('',(1.0685E1,3.065E0,0.E0)); +#257=LINE('',#256,#101); +#258=CARTESIAN_POINT('',(1.2175E1,3.065E0,0.E0)); +#259=LINE('',#258,#104); +#260=CARTESIAN_POINT('',(1.2175E1,4.555E0,0.E0)); +#261=LINE('',#260,#107); +#262=CARTESIAN_POINT('',(1.3225E1,4.555E0,0.E0)); +#263=LINE('',#262,#98); +#264=CARTESIAN_POINT('',(1.3225E1,3.065E0,0.E0)); +#265=LINE('',#264,#101); +#266=CARTESIAN_POINT('',(1.4715E1,3.065E0,0.E0)); +#267=LINE('',#266,#104); +#268=CARTESIAN_POINT('',(1.4715E1,4.555E0,0.E0)); +#269=LINE('',#268,#107); +#270=CARTESIAN_POINT('',(1.5765E1,4.555E0,0.E0)); +#271=LINE('',#270,#98); +#272=CARTESIAN_POINT('',(1.5765E1,3.065E0,0.E0)); +#273=LINE('',#272,#101); +#274=CARTESIAN_POINT('',(1.7255E1,3.065E0,0.E0)); +#275=LINE('',#274,#104); +#276=CARTESIAN_POINT('',(1.7255E1,4.555E0,0.E0)); +#277=LINE('',#276,#107); +#278=CARTESIAN_POINT('',(1.8305E1,4.555E0,0.E0)); +#279=LINE('',#278,#98); +#280=CARTESIAN_POINT('',(1.8305E1,3.065E0,0.E0)); +#281=LINE('',#280,#101); +#282=CARTESIAN_POINT('',(1.9795E1,3.065E0,0.E0)); +#283=LINE('',#282,#104); +#284=CARTESIAN_POINT('',(1.9795E1,4.555E0,0.E0)); +#285=LINE('',#284,#107); +#286=CARTESIAN_POINT('',(2.0845E1,4.555E0,0.E0)); +#287=LINE('',#286,#98); +#288=CARTESIAN_POINT('',(2.0845E1,3.065E0,0.E0)); +#289=LINE('',#288,#101); +#290=CARTESIAN_POINT('',(2.2335E1,3.065E0,0.E0)); +#291=LINE('',#290,#104); +#292=CARTESIAN_POINT('',(2.2335E1,4.555E0,0.E0)); +#293=LINE('',#292,#107); +#294=CARTESIAN_POINT('',(2.3385E1,4.555E0,0.E0)); +#295=LINE('',#294,#98); +#296=CARTESIAN_POINT('',(2.3385E1,3.065E0,0.E0)); +#297=LINE('',#296,#101); +#298=CARTESIAN_POINT('',(2.4875E1,3.065E0,0.E0)); +#299=LINE('',#298,#104); +#300=CARTESIAN_POINT('',(2.4875E1,4.555E0,0.E0)); +#301=LINE('',#300,#107); +#302=CARTESIAN_POINT('',(2.5925E1,4.555E0,0.E0)); +#303=LINE('',#302,#98); +#304=CARTESIAN_POINT('',(2.5925E1,3.065E0,0.E0)); +#305=LINE('',#304,#101); +#306=CARTESIAN_POINT('',(2.7415E1,3.065E0,0.E0)); +#307=LINE('',#306,#104); +#308=CARTESIAN_POINT('',(2.7415E1,4.555E0,0.E0)); +#309=LINE('',#308,#107); +#310=CARTESIAN_POINT('',(2.8465E1,4.555E0,0.E0)); +#311=LINE('',#310,#98); +#312=CARTESIAN_POINT('',(2.8465E1,3.065E0,0.E0)); +#313=LINE('',#312,#101); +#314=CARTESIAN_POINT('',(2.9955E1,3.065E0,0.E0)); +#315=LINE('',#314,#104); +#316=CARTESIAN_POINT('',(2.9955E1,4.555E0,0.E0)); +#317=LINE('',#316,#107); +#318=CARTESIAN_POINT('',(3.1005E1,4.555E0,0.E0)); +#319=LINE('',#318,#98); +#320=CARTESIAN_POINT('',(3.1005E1,3.065E0,0.E0)); +#321=LINE('',#320,#101); +#322=CARTESIAN_POINT('',(3.2495E1,3.065E0,0.E0)); +#323=LINE('',#322,#104); +#324=CARTESIAN_POINT('',(3.2495E1,4.555E0,0.E0)); +#325=LINE('',#324,#107); +#326=CARTESIAN_POINT('',(3.3545E1,4.555E0,0.E0)); +#327=LINE('',#326,#98); +#328=CARTESIAN_POINT('',(3.3545E1,3.065E0,0.E0)); +#329=LINE('',#328,#101); +#330=CARTESIAN_POINT('',(3.5035E1,3.065E0,0.E0)); +#331=LINE('',#330,#104); +#332=CARTESIAN_POINT('',(3.5035E1,4.555E0,0.E0)); +#333=LINE('',#332,#107); +#334=CARTESIAN_POINT('',(3.6085E1,4.555E0,0.E0)); +#335=LINE('',#334,#98); +#336=CARTESIAN_POINT('',(3.6085E1,3.065E0,0.E0)); +#337=LINE('',#336,#101); +#338=CARTESIAN_POINT('',(3.7575E1,3.065E0,0.E0)); +#339=LINE('',#338,#104); +#340=CARTESIAN_POINT('',(3.7575E1,4.555E0,0.E0)); +#341=LINE('',#340,#107); +#342=CARTESIAN_POINT('',(3.8625E1,4.555E0,0.E0)); +#343=LINE('',#342,#98); +#344=CARTESIAN_POINT('',(3.8625E1,3.065E0,0.E0)); +#345=LINE('',#344,#101); +#346=CARTESIAN_POINT('',(4.0115E1,3.065E0,0.E0)); +#347=LINE('',#346,#104); +#348=CARTESIAN_POINT('',(4.0115E1,4.555E0,0.E0)); +#349=LINE('',#348,#107); +#350=CARTESIAN_POINT('',(-4.0115E1,2.015E0,0.E0)); +#351=LINE('',#350,#98); +#352=CARTESIAN_POINT('',(-4.0115E1,5.25E-1,0.E0)); +#353=LINE('',#352,#101); +#354=CARTESIAN_POINT('',(-3.8625E1,5.25E-1,0.E0)); +#355=LINE('',#354,#104); +#356=CARTESIAN_POINT('',(-3.8625E1,2.015E0,0.E0)); +#357=LINE('',#356,#107); +#358=CARTESIAN_POINT('',(-3.7575E1,2.015E0,0.E0)); +#359=LINE('',#358,#98); +#360=CARTESIAN_POINT('',(-3.7575E1,5.25E-1,0.E0)); +#361=LINE('',#360,#101); +#362=CARTESIAN_POINT('',(-3.6085E1,5.25E-1,0.E0)); +#363=LINE('',#362,#104); +#364=CARTESIAN_POINT('',(-3.6085E1,2.015E0,0.E0)); +#365=LINE('',#364,#107); +#366=CARTESIAN_POINT('',(-3.5035E1,2.015E0,0.E0)); +#367=LINE('',#366,#98); +#368=CARTESIAN_POINT('',(-3.5035E1,5.25E-1,0.E0)); +#369=LINE('',#368,#101); +#370=CARTESIAN_POINT('',(-3.3545E1,5.25E-1,0.E0)); +#371=LINE('',#370,#104); +#372=CARTESIAN_POINT('',(-3.3545E1,2.015E0,0.E0)); +#373=LINE('',#372,#107); +#374=CARTESIAN_POINT('',(-3.2495E1,2.015E0,0.E0)); +#375=LINE('',#374,#98); +#376=CARTESIAN_POINT('',(-3.2495E1,5.25E-1,0.E0)); +#377=LINE('',#376,#101); +#378=CARTESIAN_POINT('',(-3.1005E1,5.25E-1,0.E0)); +#379=LINE('',#378,#104); +#380=CARTESIAN_POINT('',(-3.1005E1,2.015E0,0.E0)); +#381=LINE('',#380,#107); +#382=CARTESIAN_POINT('',(-2.9955E1,2.015E0,0.E0)); +#383=LINE('',#382,#98); +#384=CARTESIAN_POINT('',(-2.9955E1,5.25E-1,0.E0)); +#385=LINE('',#384,#101); +#386=CARTESIAN_POINT('',(-2.8465E1,5.25E-1,0.E0)); +#387=LINE('',#386,#104); +#388=CARTESIAN_POINT('',(-2.8465E1,2.015E0,0.E0)); +#389=LINE('',#388,#107); +#390=CARTESIAN_POINT('',(-2.7415E1,2.015E0,0.E0)); +#391=LINE('',#390,#98); +#392=CARTESIAN_POINT('',(-2.7415E1,5.25E-1,0.E0)); +#393=LINE('',#392,#101); +#394=CARTESIAN_POINT('',(-2.5925E1,5.25E-1,0.E0)); +#395=LINE('',#394,#104); +#396=CARTESIAN_POINT('',(-2.5925E1,2.015E0,0.E0)); +#397=LINE('',#396,#107); +#398=CARTESIAN_POINT('',(-2.4875E1,2.015E0,0.E0)); +#399=LINE('',#398,#98); +#400=CARTESIAN_POINT('',(-2.4875E1,5.25E-1,0.E0)); +#401=LINE('',#400,#101); +#402=CARTESIAN_POINT('',(-2.3385E1,5.25E-1,0.E0)); +#403=LINE('',#402,#104); +#404=CARTESIAN_POINT('',(-2.3385E1,2.015E0,0.E0)); +#405=LINE('',#404,#107); +#406=CARTESIAN_POINT('',(-2.2335E1,2.015E0,0.E0)); +#407=LINE('',#406,#98); +#408=CARTESIAN_POINT('',(-2.2335E1,5.25E-1,0.E0)); +#409=LINE('',#408,#101); +#410=CARTESIAN_POINT('',(-2.0845E1,5.25E-1,0.E0)); +#411=LINE('',#410,#104); +#412=CARTESIAN_POINT('',(-2.0845E1,2.015E0,0.E0)); +#413=LINE('',#412,#107); +#414=CARTESIAN_POINT('',(-1.9795E1,2.015E0,0.E0)); +#415=LINE('',#414,#98); +#416=CARTESIAN_POINT('',(-1.9795E1,5.25E-1,0.E0)); +#417=LINE('',#416,#101); +#418=CARTESIAN_POINT('',(-1.8305E1,5.25E-1,0.E0)); +#419=LINE('',#418,#104); +#420=CARTESIAN_POINT('',(-1.8305E1,2.015E0,0.E0)); +#421=LINE('',#420,#107); +#422=CARTESIAN_POINT('',(-1.7255E1,2.015E0,0.E0)); +#423=LINE('',#422,#98); +#424=CARTESIAN_POINT('',(-1.7255E1,5.25E-1,0.E0)); +#425=LINE('',#424,#101); +#426=CARTESIAN_POINT('',(-1.5765E1,5.25E-1,0.E0)); +#427=LINE('',#426,#104); +#428=CARTESIAN_POINT('',(-1.5765E1,2.015E0,0.E0)); +#429=LINE('',#428,#107); +#430=CARTESIAN_POINT('',(-1.4715E1,2.015E0,0.E0)); +#431=LINE('',#430,#98); +#432=CARTESIAN_POINT('',(-1.4715E1,5.25E-1,0.E0)); +#433=LINE('',#432,#101); +#434=CARTESIAN_POINT('',(-1.3225E1,5.25E-1,0.E0)); +#435=LINE('',#434,#104); +#436=CARTESIAN_POINT('',(-1.3225E1,2.015E0,0.E0)); +#437=LINE('',#436,#107); +#438=CARTESIAN_POINT('',(-1.2175E1,2.015E0,0.E0)); +#439=LINE('',#438,#98); +#440=CARTESIAN_POINT('',(-1.2175E1,5.25E-1,0.E0)); +#441=LINE('',#440,#101); +#442=CARTESIAN_POINT('',(-1.0685E1,5.25E-1,0.E0)); +#443=LINE('',#442,#104); +#444=CARTESIAN_POINT('',(-1.0685E1,2.015E0,0.E0)); +#445=LINE('',#444,#107); +#446=CARTESIAN_POINT('',(-9.635E0,2.015E0,0.E0)); +#447=LINE('',#446,#98); +#448=CARTESIAN_POINT('',(-9.635E0,5.25E-1,0.E0)); +#449=LINE('',#448,#101); +#450=CARTESIAN_POINT('',(-8.145E0,5.25E-1,0.E0)); +#451=LINE('',#450,#104); +#452=CARTESIAN_POINT('',(-8.145E0,2.015E0,0.E0)); +#453=LINE('',#452,#107); +#454=CARTESIAN_POINT('',(-7.095E0,2.015E0,0.E0)); +#455=LINE('',#454,#98); +#456=CARTESIAN_POINT('',(-7.095E0,5.25E-1,0.E0)); +#457=LINE('',#456,#101); +#458=CARTESIAN_POINT('',(-5.605E0,5.25E-1,0.E0)); +#459=LINE('',#458,#104); +#460=CARTESIAN_POINT('',(-5.605E0,2.015E0,0.E0)); +#461=LINE('',#460,#107); +#462=CARTESIAN_POINT('',(-4.555E0,2.015E0,0.E0)); +#463=LINE('',#462,#98); +#464=CARTESIAN_POINT('',(-4.555E0,5.25E-1,0.E0)); +#465=LINE('',#464,#101); +#466=CARTESIAN_POINT('',(-3.065E0,5.25E-1,0.E0)); +#467=LINE('',#466,#104); +#468=CARTESIAN_POINT('',(-3.065E0,2.015E0,0.E0)); +#469=LINE('',#468,#107); +#470=CARTESIAN_POINT('',(-2.015E0,2.015E0,0.E0)); +#471=LINE('',#470,#98); +#472=CARTESIAN_POINT('',(-2.015E0,5.25E-1,0.E0)); +#473=LINE('',#472,#101); +#474=CARTESIAN_POINT('',(-5.25E-1,5.25E-1,0.E0)); +#475=LINE('',#474,#104); +#476=CARTESIAN_POINT('',(-5.25E-1,2.015E0,0.E0)); +#477=LINE('',#476,#107); +#478=CARTESIAN_POINT('',(5.25E-1,2.015E0,0.E0)); +#479=LINE('',#478,#98); +#480=CARTESIAN_POINT('',(5.25E-1,5.25E-1,0.E0)); +#481=LINE('',#480,#101); +#482=CARTESIAN_POINT('',(2.015E0,5.25E-1,0.E0)); +#483=LINE('',#482,#104); +#484=CARTESIAN_POINT('',(2.015E0,2.015E0,0.E0)); +#485=LINE('',#484,#107); +#486=CARTESIAN_POINT('',(3.065E0,2.015E0,0.E0)); +#487=LINE('',#486,#98); +#488=CARTESIAN_POINT('',(3.065E0,5.25E-1,0.E0)); +#489=LINE('',#488,#101); +#490=CARTESIAN_POINT('',(4.555E0,5.25E-1,0.E0)); +#491=LINE('',#490,#104); +#492=CARTESIAN_POINT('',(4.555E0,2.015E0,0.E0)); +#493=LINE('',#492,#107); +#494=CARTESIAN_POINT('',(5.605E0,2.015E0,0.E0)); +#495=LINE('',#494,#98); +#496=CARTESIAN_POINT('',(5.605E0,5.25E-1,0.E0)); +#497=LINE('',#496,#101); +#498=CARTESIAN_POINT('',(7.095E0,5.25E-1,0.E0)); +#499=LINE('',#498,#104); +#500=CARTESIAN_POINT('',(7.095E0,2.015E0,0.E0)); +#501=LINE('',#500,#107); +#502=CARTESIAN_POINT('',(8.145E0,2.015E0,0.E0)); +#503=LINE('',#502,#98); +#504=CARTESIAN_POINT('',(8.145E0,5.25E-1,0.E0)); +#505=LINE('',#504,#101); +#506=CARTESIAN_POINT('',(9.635E0,5.25E-1,0.E0)); +#507=LINE('',#506,#104); +#508=CARTESIAN_POINT('',(9.635E0,2.015E0,0.E0)); +#509=LINE('',#508,#107); +#510=CARTESIAN_POINT('',(1.0685E1,2.015E0,0.E0)); +#511=LINE('',#510,#98); +#512=CARTESIAN_POINT('',(1.0685E1,5.25E-1,0.E0)); +#513=LINE('',#512,#101); +#514=CARTESIAN_POINT('',(1.2175E1,5.25E-1,0.E0)); +#515=LINE('',#514,#104); +#516=CARTESIAN_POINT('',(1.2175E1,2.015E0,0.E0)); +#517=LINE('',#516,#107); +#518=CARTESIAN_POINT('',(1.3225E1,2.015E0,0.E0)); +#519=LINE('',#518,#98); +#520=CARTESIAN_POINT('',(1.3225E1,5.25E-1,0.E0)); +#521=LINE('',#520,#101); +#522=CARTESIAN_POINT('',(1.4715E1,5.25E-1,0.E0)); +#523=LINE('',#522,#104); +#524=CARTESIAN_POINT('',(1.4715E1,2.015E0,0.E0)); +#525=LINE('',#524,#107); +#526=CARTESIAN_POINT('',(1.5765E1,2.015E0,0.E0)); +#527=LINE('',#526,#98); +#528=CARTESIAN_POINT('',(1.5765E1,5.25E-1,0.E0)); +#529=LINE('',#528,#101); +#530=CARTESIAN_POINT('',(1.7255E1,5.25E-1,0.E0)); +#531=LINE('',#530,#104); +#532=CARTESIAN_POINT('',(1.7255E1,2.015E0,0.E0)); +#533=LINE('',#532,#107); +#534=CARTESIAN_POINT('',(1.8305E1,2.015E0,0.E0)); +#535=LINE('',#534,#98); +#536=CARTESIAN_POINT('',(1.8305E1,5.25E-1,0.E0)); +#537=LINE('',#536,#101); +#538=CARTESIAN_POINT('',(1.9795E1,5.25E-1,0.E0)); +#539=LINE('',#538,#104); +#540=CARTESIAN_POINT('',(1.9795E1,2.015E0,0.E0)); +#541=LINE('',#540,#107); +#542=CARTESIAN_POINT('',(2.0845E1,2.015E0,0.E0)); +#543=LINE('',#542,#98); +#544=CARTESIAN_POINT('',(2.0845E1,5.25E-1,0.E0)); +#545=LINE('',#544,#101); +#546=CARTESIAN_POINT('',(2.2335E1,5.25E-1,0.E0)); +#547=LINE('',#546,#104); +#548=CARTESIAN_POINT('',(2.2335E1,2.015E0,0.E0)); +#549=LINE('',#548,#107); +#550=CARTESIAN_POINT('',(2.3385E1,2.015E0,0.E0)); +#551=LINE('',#550,#98); +#552=CARTESIAN_POINT('',(2.3385E1,5.25E-1,0.E0)); +#553=LINE('',#552,#101); +#554=CARTESIAN_POINT('',(2.4875E1,5.25E-1,0.E0)); +#555=LINE('',#554,#104); +#556=CARTESIAN_POINT('',(2.4875E1,2.015E0,0.E0)); +#557=LINE('',#556,#107); +#558=CARTESIAN_POINT('',(2.5925E1,2.015E0,0.E0)); +#559=LINE('',#558,#98); +#560=CARTESIAN_POINT('',(2.5925E1,5.25E-1,0.E0)); +#561=LINE('',#560,#101); +#562=CARTESIAN_POINT('',(2.7415E1,5.25E-1,0.E0)); +#563=LINE('',#562,#104); +#564=CARTESIAN_POINT('',(2.7415E1,2.015E0,0.E0)); +#565=LINE('',#564,#107); +#566=CARTESIAN_POINT('',(2.8465E1,2.015E0,0.E0)); +#567=LINE('',#566,#98); +#568=CARTESIAN_POINT('',(2.8465E1,5.25E-1,0.E0)); +#569=LINE('',#568,#101); +#570=CARTESIAN_POINT('',(2.9955E1,5.25E-1,0.E0)); +#571=LINE('',#570,#104); +#572=CARTESIAN_POINT('',(2.9955E1,2.015E0,0.E0)); +#573=LINE('',#572,#107); +#574=CARTESIAN_POINT('',(3.1005E1,2.015E0,0.E0)); +#575=LINE('',#574,#98); +#576=CARTESIAN_POINT('',(3.1005E1,5.25E-1,0.E0)); +#577=LINE('',#576,#101); +#578=CARTESIAN_POINT('',(3.2495E1,5.25E-1,0.E0)); +#579=LINE('',#578,#104); +#580=CARTESIAN_POINT('',(3.2495E1,2.015E0,0.E0)); +#581=LINE('',#580,#107); +#582=CARTESIAN_POINT('',(3.3545E1,2.015E0,0.E0)); +#583=LINE('',#582,#98); +#584=CARTESIAN_POINT('',(3.3545E1,5.25E-1,0.E0)); +#585=LINE('',#584,#101); +#586=CARTESIAN_POINT('',(3.5035E1,5.25E-1,0.E0)); +#587=LINE('',#586,#104); +#588=CARTESIAN_POINT('',(3.5035E1,2.015E0,0.E0)); +#589=LINE('',#588,#107); +#590=CARTESIAN_POINT('',(3.6085E1,2.015E0,0.E0)); +#591=LINE('',#590,#98); +#592=CARTESIAN_POINT('',(3.6085E1,5.25E-1,0.E0)); +#593=LINE('',#592,#101); +#594=CARTESIAN_POINT('',(3.7575E1,5.25E-1,0.E0)); +#595=LINE('',#594,#104); +#596=CARTESIAN_POINT('',(3.7575E1,2.015E0,0.E0)); +#597=LINE('',#596,#107); +#598=CARTESIAN_POINT('',(3.8625E1,2.015E0,0.E0)); +#599=LINE('',#598,#98); +#600=CARTESIAN_POINT('',(3.8625E1,5.25E-1,0.E0)); +#601=LINE('',#600,#101); +#602=CARTESIAN_POINT('',(4.0115E1,5.25E-1,0.E0)); +#603=LINE('',#602,#104); +#604=CARTESIAN_POINT('',(4.0115E1,2.015E0,0.E0)); +#605=LINE('',#604,#107); +#606=CARTESIAN_POINT('',(-1.9795E1,-5.25E-1,0.E0)); +#607=LINE('',#606,#98); +#608=CARTESIAN_POINT('',(-1.9795E1,-2.015E0,0.E0)); +#609=LINE('',#608,#101); +#610=CARTESIAN_POINT('',(-1.8305E1,-2.015E0,0.E0)); +#611=LINE('',#610,#104); +#612=CARTESIAN_POINT('',(-1.8305E1,-5.25E-1,0.E0)); +#613=LINE('',#612,#107); +#614=CARTESIAN_POINT('',(-1.7255E1,-5.25E-1,0.E0)); +#615=LINE('',#614,#98); +#616=CARTESIAN_POINT('',(-1.7255E1,-2.015E0,0.E0)); +#617=LINE('',#616,#101); +#618=CARTESIAN_POINT('',(-1.5765E1,-2.015E0,0.E0)); +#619=LINE('',#618,#104); +#620=CARTESIAN_POINT('',(-1.5765E1,-5.25E-1,0.E0)); +#621=LINE('',#620,#107); +#622=CARTESIAN_POINT('',(-1.4715E1,-5.25E-1,0.E0)); +#623=LINE('',#622,#98); +#624=CARTESIAN_POINT('',(-1.4715E1,-2.015E0,0.E0)); +#625=LINE('',#624,#101); +#626=CARTESIAN_POINT('',(-1.3225E1,-2.015E0,0.E0)); +#627=LINE('',#626,#104); +#628=CARTESIAN_POINT('',(-1.3225E1,-5.25E-1,0.E0)); +#629=LINE('',#628,#107); +#630=CARTESIAN_POINT('',(-1.2175E1,-5.25E-1,0.E0)); +#631=LINE('',#630,#98); +#632=CARTESIAN_POINT('',(-1.2175E1,-2.015E0,0.E0)); +#633=LINE('',#632,#101); +#634=CARTESIAN_POINT('',(-1.0685E1,-2.015E0,0.E0)); +#635=LINE('',#634,#104); +#636=CARTESIAN_POINT('',(-1.0685E1,-5.25E-1,0.E0)); +#637=LINE('',#636,#107); +#638=CARTESIAN_POINT('',(-9.635E0,-5.25E-1,0.E0)); +#639=LINE('',#638,#98); +#640=CARTESIAN_POINT('',(-9.635E0,-2.015E0,0.E0)); +#641=LINE('',#640,#101); +#642=CARTESIAN_POINT('',(-8.145E0,-2.015E0,0.E0)); +#643=LINE('',#642,#104); +#644=CARTESIAN_POINT('',(-8.145E0,-5.25E-1,0.E0)); +#645=LINE('',#644,#107); +#646=CARTESIAN_POINT('',(-7.095E0,-5.25E-1,0.E0)); +#647=LINE('',#646,#98); +#648=CARTESIAN_POINT('',(-7.095E0,-2.015E0,0.E0)); +#649=LINE('',#648,#101); +#650=CARTESIAN_POINT('',(-5.605E0,-2.015E0,0.E0)); +#651=LINE('',#650,#104); +#652=CARTESIAN_POINT('',(-5.605E0,-5.25E-1,0.E0)); +#653=LINE('',#652,#107); +#654=CARTESIAN_POINT('',(-4.555E0,-5.25E-1,0.E0)); +#655=LINE('',#654,#98); +#656=CARTESIAN_POINT('',(-4.555E0,-2.015E0,0.E0)); +#657=LINE('',#656,#101); +#658=CARTESIAN_POINT('',(-3.065E0,-2.015E0,0.E0)); +#659=LINE('',#658,#104); +#660=CARTESIAN_POINT('',(-3.065E0,-5.25E-1,0.E0)); +#661=LINE('',#660,#107); +#662=CARTESIAN_POINT('',(-2.015E0,-5.25E-1,0.E0)); +#663=LINE('',#662,#98); +#664=CARTESIAN_POINT('',(-2.015E0,-2.015E0,0.E0)); +#665=LINE('',#664,#101); +#666=CARTESIAN_POINT('',(-5.25E-1,-2.015E0,0.E0)); +#667=LINE('',#666,#104); +#668=CARTESIAN_POINT('',(-5.25E-1,-5.25E-1,0.E0)); +#669=LINE('',#668,#107); +#670=CARTESIAN_POINT('',(5.25E-1,-5.25E-1,0.E0)); +#671=LINE('',#670,#98); +#672=CARTESIAN_POINT('',(5.25E-1,-2.015E0,0.E0)); +#673=LINE('',#672,#101); +#674=CARTESIAN_POINT('',(2.015E0,-2.015E0,0.E0)); +#675=LINE('',#674,#104); +#676=CARTESIAN_POINT('',(2.015E0,-5.25E-1,0.E0)); +#677=LINE('',#676,#107); +#678=CARTESIAN_POINT('',(3.065E0,-5.25E-1,0.E0)); +#679=LINE('',#678,#98); +#680=CARTESIAN_POINT('',(3.065E0,-2.015E0,0.E0)); +#681=LINE('',#680,#101); +#682=CARTESIAN_POINT('',(4.555E0,-2.015E0,0.E0)); +#683=LINE('',#682,#104); +#684=CARTESIAN_POINT('',(4.555E0,-5.25E-1,0.E0)); +#685=LINE('',#684,#107); +#686=CARTESIAN_POINT('',(5.605E0,-5.25E-1,0.E0)); +#687=LINE('',#686,#98); +#688=CARTESIAN_POINT('',(5.605E0,-2.015E0,0.E0)); +#689=LINE('',#688,#101); +#690=CARTESIAN_POINT('',(7.095E0,-2.015E0,0.E0)); +#691=LINE('',#690,#104); +#692=CARTESIAN_POINT('',(7.095E0,-5.25E-1,0.E0)); +#693=LINE('',#692,#107); +#694=CARTESIAN_POINT('',(8.145E0,-5.25E-1,0.E0)); +#695=LINE('',#694,#98); +#696=CARTESIAN_POINT('',(8.145E0,-2.015E0,0.E0)); +#697=LINE('',#696,#101); +#698=CARTESIAN_POINT('',(9.635E0,-2.015E0,0.E0)); +#699=LINE('',#698,#104); +#700=CARTESIAN_POINT('',(9.635E0,-5.25E-1,0.E0)); +#701=LINE('',#700,#107); +#702=CARTESIAN_POINT('',(1.0685E1,-5.25E-1,0.E0)); +#703=LINE('',#702,#98); +#704=CARTESIAN_POINT('',(1.0685E1,-2.015E0,0.E0)); +#705=LINE('',#704,#101); +#706=CARTESIAN_POINT('',(1.2175E1,-2.015E0,0.E0)); +#707=LINE('',#706,#104); +#708=CARTESIAN_POINT('',(1.2175E1,-5.25E-1,0.E0)); +#709=LINE('',#708,#107); +#710=CARTESIAN_POINT('',(1.3225E1,-5.25E-1,0.E0)); +#711=LINE('',#710,#98); +#712=CARTESIAN_POINT('',(1.3225E1,-2.015E0,0.E0)); +#713=LINE('',#712,#101); +#714=CARTESIAN_POINT('',(1.4715E1,-2.015E0,0.E0)); +#715=LINE('',#714,#104); +#716=CARTESIAN_POINT('',(1.4715E1,-5.25E-1,0.E0)); +#717=LINE('',#716,#107); +#718=CARTESIAN_POINT('',(1.5765E1,-5.25E-1,0.E0)); +#719=LINE('',#718,#98); +#720=CARTESIAN_POINT('',(1.5765E1,-2.015E0,0.E0)); +#721=LINE('',#720,#101); +#722=CARTESIAN_POINT('',(1.7255E1,-2.015E0,0.E0)); +#723=LINE('',#722,#104); +#724=CARTESIAN_POINT('',(1.7255E1,-5.25E-1,0.E0)); +#725=LINE('',#724,#107); +#726=CARTESIAN_POINT('',(1.8305E1,-5.25E-1,0.E0)); +#727=LINE('',#726,#98); +#728=CARTESIAN_POINT('',(1.8305E1,-2.015E0,0.E0)); +#729=LINE('',#728,#101); +#730=CARTESIAN_POINT('',(1.9795E1,-2.015E0,0.E0)); +#731=LINE('',#730,#104); +#732=CARTESIAN_POINT('',(1.9795E1,-5.25E-1,0.E0)); +#733=LINE('',#732,#107); +#734=CARTESIAN_POINT('',(2.0845E1,-5.25E-1,0.E0)); +#735=LINE('',#734,#98); +#736=CARTESIAN_POINT('',(2.0845E1,-2.015E0,0.E0)); +#737=LINE('',#736,#101); +#738=CARTESIAN_POINT('',(2.2335E1,-2.015E0,0.E0)); +#739=LINE('',#738,#104); +#740=CARTESIAN_POINT('',(2.2335E1,-5.25E-1,0.E0)); +#741=LINE('',#740,#107); +#742=CARTESIAN_POINT('',(2.3385E1,-5.25E-1,0.E0)); +#743=LINE('',#742,#98); +#744=CARTESIAN_POINT('',(2.3385E1,-2.015E0,0.E0)); +#745=LINE('',#744,#101); +#746=CARTESIAN_POINT('',(2.4875E1,-2.015E0,0.E0)); +#747=LINE('',#746,#104); +#748=CARTESIAN_POINT('',(2.4875E1,-5.25E-1,0.E0)); +#749=LINE('',#748,#107); +#750=CARTESIAN_POINT('',(2.5925E1,-5.25E-1,0.E0)); +#751=LINE('',#750,#98); +#752=CARTESIAN_POINT('',(2.5925E1,-2.015E0,0.E0)); +#753=LINE('',#752,#101); +#754=CARTESIAN_POINT('',(2.7415E1,-2.015E0,0.E0)); +#755=LINE('',#754,#104); +#756=CARTESIAN_POINT('',(2.7415E1,-5.25E-1,0.E0)); +#757=LINE('',#756,#107); +#758=CARTESIAN_POINT('',(-1.9795E1,-3.065E0,0.E0)); +#759=LINE('',#758,#98); +#760=CARTESIAN_POINT('',(-1.9795E1,-4.555E0,0.E0)); +#761=LINE('',#760,#101); +#762=CARTESIAN_POINT('',(-1.8305E1,-4.555E0,0.E0)); +#763=LINE('',#762,#104); +#764=CARTESIAN_POINT('',(-1.8305E1,-3.065E0,0.E0)); +#765=LINE('',#764,#107); +#766=CARTESIAN_POINT('',(-1.7255E1,-3.065E0,0.E0)); +#767=LINE('',#766,#98); +#768=CARTESIAN_POINT('',(-1.7255E1,-4.555E0,0.E0)); +#769=LINE('',#768,#101); +#770=CARTESIAN_POINT('',(-1.5765E1,-4.555E0,0.E0)); +#771=LINE('',#770,#104); +#772=CARTESIAN_POINT('',(-1.5765E1,-3.065E0,0.E0)); +#773=LINE('',#772,#107); +#774=CARTESIAN_POINT('',(-1.4715E1,-3.065E0,0.E0)); +#775=LINE('',#774,#98); +#776=CARTESIAN_POINT('',(-1.4715E1,-4.555E0,0.E0)); +#777=LINE('',#776,#101); +#778=CARTESIAN_POINT('',(-1.3225E1,-4.555E0,0.E0)); +#779=LINE('',#778,#104); +#780=CARTESIAN_POINT('',(-1.3225E1,-3.065E0,0.E0)); +#781=LINE('',#780,#107); +#782=CARTESIAN_POINT('',(-1.2175E1,-3.065E0,0.E0)); +#783=LINE('',#782,#98); +#784=CARTESIAN_POINT('',(-1.2175E1,-4.555E0,0.E0)); +#785=LINE('',#784,#101); +#786=CARTESIAN_POINT('',(-1.0685E1,-4.555E0,0.E0)); +#787=LINE('',#786,#104); +#788=CARTESIAN_POINT('',(-1.0685E1,-3.065E0,0.E0)); +#789=LINE('',#788,#107); +#790=CARTESIAN_POINT('',(-9.635E0,-3.065E0,0.E0)); +#791=LINE('',#790,#98); +#792=CARTESIAN_POINT('',(-9.635E0,-4.555E0,0.E0)); +#793=LINE('',#792,#101); +#794=CARTESIAN_POINT('',(-8.145E0,-4.555E0,0.E0)); +#795=LINE('',#794,#104); +#796=CARTESIAN_POINT('',(-8.145E0,-3.065E0,0.E0)); +#797=LINE('',#796,#107); +#798=CARTESIAN_POINT('',(-7.095E0,-3.065E0,0.E0)); +#799=LINE('',#798,#98); +#800=CARTESIAN_POINT('',(-7.095E0,-4.555E0,0.E0)); +#801=LINE('',#800,#101); +#802=CARTESIAN_POINT('',(-5.605E0,-4.555E0,0.E0)); +#803=LINE('',#802,#104); +#804=CARTESIAN_POINT('',(-5.605E0,-3.065E0,0.E0)); +#805=LINE('',#804,#107); +#806=CARTESIAN_POINT('',(-4.555E0,-3.065E0,0.E0)); +#807=LINE('',#806,#98); +#808=CARTESIAN_POINT('',(-4.555E0,-4.555E0,0.E0)); +#809=LINE('',#808,#101); +#810=CARTESIAN_POINT('',(-3.065E0,-4.555E0,0.E0)); +#811=LINE('',#810,#104); +#812=CARTESIAN_POINT('',(-3.065E0,-3.065E0,0.E0)); +#813=LINE('',#812,#107); +#814=CARTESIAN_POINT('',(-2.015E0,-3.065E0,0.E0)); +#815=LINE('',#814,#98); +#816=CARTESIAN_POINT('',(-2.015E0,-4.555E0,0.E0)); +#817=LINE('',#816,#101); +#818=CARTESIAN_POINT('',(-5.25E-1,-4.555E0,0.E0)); +#819=LINE('',#818,#104); +#820=CARTESIAN_POINT('',(-5.25E-1,-3.065E0,0.E0)); +#821=LINE('',#820,#107); +#822=CARTESIAN_POINT('',(5.25E-1,-3.065E0,0.E0)); +#823=LINE('',#822,#98); +#824=CARTESIAN_POINT('',(5.25E-1,-4.555E0,0.E0)); +#825=LINE('',#824,#101); +#826=CARTESIAN_POINT('',(2.015E0,-4.555E0,0.E0)); +#827=LINE('',#826,#104); +#828=CARTESIAN_POINT('',(2.015E0,-3.065E0,0.E0)); +#829=LINE('',#828,#107); +#830=CARTESIAN_POINT('',(3.065E0,-3.065E0,0.E0)); +#831=LINE('',#830,#98); +#832=CARTESIAN_POINT('',(3.065E0,-4.555E0,0.E0)); +#833=LINE('',#832,#101); +#834=CARTESIAN_POINT('',(4.555E0,-4.555E0,0.E0)); +#835=LINE('',#834,#104); +#836=CARTESIAN_POINT('',(4.555E0,-3.065E0,0.E0)); +#837=LINE('',#836,#107); +#838=CARTESIAN_POINT('',(5.605E0,-3.065E0,0.E0)); +#839=LINE('',#838,#98); +#840=CARTESIAN_POINT('',(5.605E0,-4.555E0,0.E0)); +#841=LINE('',#840,#101); +#842=CARTESIAN_POINT('',(7.095E0,-4.555E0,0.E0)); +#843=LINE('',#842,#104); +#844=CARTESIAN_POINT('',(7.095E0,-3.065E0,0.E0)); +#845=LINE('',#844,#107); +#846=CARTESIAN_POINT('',(8.145E0,-3.065E0,0.E0)); +#847=LINE('',#846,#98); +#848=CARTESIAN_POINT('',(8.145E0,-4.555E0,0.E0)); +#849=LINE('',#848,#101); +#850=CARTESIAN_POINT('',(9.635E0,-4.555E0,0.E0)); +#851=LINE('',#850,#104); +#852=CARTESIAN_POINT('',(9.635E0,-3.065E0,0.E0)); +#853=LINE('',#852,#107); +#854=CARTESIAN_POINT('',(1.0685E1,-3.065E0,0.E0)); +#855=LINE('',#854,#98); +#856=CARTESIAN_POINT('',(1.0685E1,-4.555E0,0.E0)); +#857=LINE('',#856,#101); +#858=CARTESIAN_POINT('',(1.2175E1,-4.555E0,0.E0)); +#859=LINE('',#858,#104); +#860=CARTESIAN_POINT('',(1.2175E1,-3.065E0,0.E0)); +#861=LINE('',#860,#107); +#862=CARTESIAN_POINT('',(1.3225E1,-3.065E0,0.E0)); +#863=LINE('',#862,#98); +#864=CARTESIAN_POINT('',(1.3225E1,-4.555E0,0.E0)); +#865=LINE('',#864,#101); +#866=CARTESIAN_POINT('',(1.4715E1,-4.555E0,0.E0)); +#867=LINE('',#866,#104); +#868=CARTESIAN_POINT('',(1.4715E1,-3.065E0,0.E0)); +#869=LINE('',#868,#107); +#870=CARTESIAN_POINT('',(1.5765E1,-3.065E0,0.E0)); +#871=LINE('',#870,#98); +#872=CARTESIAN_POINT('',(1.5765E1,-4.555E0,0.E0)); +#873=LINE('',#872,#101); +#874=CARTESIAN_POINT('',(1.7255E1,-4.555E0,0.E0)); +#875=LINE('',#874,#104); +#876=CARTESIAN_POINT('',(1.7255E1,-3.065E0,0.E0)); +#877=LINE('',#876,#107); +#878=CARTESIAN_POINT('',(1.8305E1,-3.065E0,0.E0)); +#879=LINE('',#878,#98); +#880=CARTESIAN_POINT('',(1.8305E1,-4.555E0,0.E0)); +#881=LINE('',#880,#101); +#882=CARTESIAN_POINT('',(1.9795E1,-4.555E0,0.E0)); +#883=LINE('',#882,#104); +#884=CARTESIAN_POINT('',(1.9795E1,-3.065E0,0.E0)); +#885=LINE('',#884,#107); +#886=CARTESIAN_POINT('',(2.0845E1,-3.065E0,0.E0)); +#887=LINE('',#886,#98); +#888=CARTESIAN_POINT('',(2.0845E1,-4.555E0,0.E0)); +#889=LINE('',#888,#101); +#890=CARTESIAN_POINT('',(2.2335E1,-4.555E0,0.E0)); +#891=LINE('',#890,#104); +#892=CARTESIAN_POINT('',(2.2335E1,-3.065E0,0.E0)); +#893=LINE('',#892,#107); +#894=CARTESIAN_POINT('',(2.3385E1,-3.065E0,0.E0)); +#895=LINE('',#894,#98); +#896=CARTESIAN_POINT('',(2.3385E1,-4.555E0,0.E0)); +#897=LINE('',#896,#101); +#898=CARTESIAN_POINT('',(2.4875E1,-4.555E0,0.E0)); +#899=LINE('',#898,#104); +#900=CARTESIAN_POINT('',(2.4875E1,-3.065E0,0.E0)); +#901=LINE('',#900,#107); +#902=CARTESIAN_POINT('',(2.5925E1,-3.065E0,0.E0)); +#903=LINE('',#902,#98); +#904=CARTESIAN_POINT('',(2.5925E1,-4.555E0,0.E0)); +#905=LINE('',#904,#101); +#906=CARTESIAN_POINT('',(2.7415E1,-4.555E0,0.E0)); +#907=LINE('',#906,#104); +#908=CARTESIAN_POINT('',(2.7415E1,-3.065E0,0.E0)); +#909=LINE('',#908,#107); +#910=CARTESIAN_POINT('',(2.8465E1,-3.065E0,0.E0)); +#911=LINE('',#910,#98); +#912=CARTESIAN_POINT('',(2.8465E1,-4.555E0,0.E0)); +#913=LINE('',#912,#101); +#914=CARTESIAN_POINT('',(2.9955E1,-4.555E0,0.E0)); +#915=LINE('',#914,#104); +#916=CARTESIAN_POINT('',(2.9955E1,-3.065E0,0.E0)); +#917=LINE('',#916,#107); +#918=DIRECTION('',(0.E0,0.E0,-1.E0)); +#919=VECTOR('',#918,1.105E1); +#920=LINE('',#74,#919); +#921=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#922=VECTOR('',#921,7.071067811865E-1); +#923=CARTESIAN_POINT('',(-4.08E1,8.55E-1,-1.055E1)); +#924=LINE('',#923,#922); +#925=VECTOR('',#72,3.24E0); +#926=CARTESIAN_POINT('',(-4.08E1,4.095E0,-1.055E1)); +#927=LINE('',#926,#925); +#928=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#929=VECTOR('',#928,7.071067811865E-1); +#930=CARTESIAN_POINT('',(-4.08E1,4.595E0,-1.105E1)); +#931=LINE('',#930,#929); +#932=VECTOR('',#72,3.55E-1); +#933=CARTESIAN_POINT('',(-4.08E1,4.95E0,-1.105E1)); +#934=LINE('',#933,#932); +#935=VECTOR('',#84,8.16E1); +#936=LINE('',#930,#935); +#937=VECTOR('',#80,3.55E-1); +#938=CARTESIAN_POINT('',(4.08E1,4.595E0,-1.105E1)); +#939=LINE('',#938,#937); +#940=CARTESIAN_POINT('',(4.08E1,4.95E0,-1.105E1)); +#941=LINE('',#940,#77); +#942=CARTESIAN_POINT('',(-4.08E1,3.55E-1,-1.105E1)); +#943=LINE('',#942,#932); +#944=CARTESIAN_POINT('',(-4.08E1,0.E0,-1.105E1)); +#945=LINE('',#944,#95); +#946=CARTESIAN_POINT('',(-2.047E1,0.E0,-1.105E1)); +#947=LINE('',#946,#932); +#948=CARTESIAN_POINT('',(-2.047E1,-3.55E-1,-1.105E1)); +#949=LINE('',#948,#90); +#950=CARTESIAN_POINT('',(3.064E1,-3.55E-1,-1.105E1)); +#951=LINE('',#950,#937); +#952=CARTESIAN_POINT('',(3.064E1,0.E0,-1.105E1)); +#953=LINE('',#952,#85); +#954=CARTESIAN_POINT('',(4.08E1,0.E0,-1.105E1)); +#955=LINE('',#954,#937); +#956=LINE('',#942,#935); +#957=CARTESIAN_POINT('',(-2.047E1,-4.595E0,-1.105E1)); +#958=LINE('',#957,#932); +#959=CARTESIAN_POINT('',(-2.047E1,-4.95E0,-1.105E1)); +#960=LINE('',#959,#90); +#961=CARTESIAN_POINT('',(3.064E1,-4.95E0,-1.105E1)); +#962=LINE('',#961,#937); +#963=LINE('',#957,#90); +#964=VECTOR('',#84,4.44E0); +#965=CARTESIAN_POINT('',(-1.873E1,4.095E0,-1.055E1)); +#966=LINE('',#965,#964); +#967=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811866E-1)); +#968=VECTOR('',#967,4.949747468306E-2); +#969=CARTESIAN_POINT('',(-1.429E1,4.095E0,-1.055E1)); +#970=LINE('',#969,#968); +#971=VECTOR('',#84,6.4E-1); +#972=CARTESIAN_POINT('',(-1.429E1,4.13E0,-1.0585E1)); +#973=LINE('',#972,#971); +#974=CARTESIAN_POINT('',(-1.365E1,4.095E0,-1.055E1)); +#975=LINE('',#974,#968); +#976=DIRECTION('',(3.588782762706E-14,7.071067811865E-1,-7.071067811865E-1)); +#977=VECTOR('',#976,4.949747468306E-2); +#978=CARTESIAN_POINT('',(-1.175E1,4.095E0,-1.055E1)); +#979=LINE('',#978,#977); +#980=CARTESIAN_POINT('',(-1.175E1,4.13E0,-1.0585E1)); +#981=LINE('',#980,#971); +#982=DIRECTION('',(7.177565525411E-14,7.071067811865E-1,-7.071067811866E-1)); +#983=VECTOR('',#982,4.949747468306E-2); +#984=CARTESIAN_POINT('',(-1.111E1,4.095E0,-1.055E1)); +#985=LINE('',#984,#983); +#986=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#987=VECTOR('',#986,4.949747468306E-2); +#988=CARTESIAN_POINT('',(-9.21E0,4.095E0,-1.055E1)); +#989=LINE('',#988,#987); +#990=CARTESIAN_POINT('',(-9.21E0,4.13E0,-1.0585E1)); +#991=LINE('',#990,#971); +#992=CARTESIAN_POINT('',(-8.57E0,4.095E0,-1.055E1)); +#993=LINE('',#992,#968); +#994=CARTESIAN_POINT('',(-6.67E0,4.095E0,-1.055E1)); +#995=LINE('',#994,#987); +#996=CARTESIAN_POINT('',(-6.67E0,4.13E0,-1.0585E1)); +#997=LINE('',#996,#971); +#998=CARTESIAN_POINT('',(-6.03E0,4.095E0,-1.055E1)); +#999=LINE('',#998,#968); +#1000=CARTESIAN_POINT('',(-4.13E0,4.095E0,-1.055E1)); +#1001=LINE('',#1000,#968); +#1002=CARTESIAN_POINT('',(-4.13E0,4.13E0,-1.0585E1)); +#1003=LINE('',#1002,#971); +#1004=CARTESIAN_POINT('',(-3.49E0,4.095E0,-1.055E1)); +#1005=LINE('',#1004,#968); +#1006=CARTESIAN_POINT('',(-1.59E0,4.095E0,-1.055E1)); +#1007=LINE('',#1006,#968); +#1008=CARTESIAN_POINT('',(-1.59E0,4.13E0,-1.0585E1)); +#1009=LINE('',#1008,#971); +#1010=CARTESIAN_POINT('',(-9.5E-1,4.095E0,-1.055E1)); +#1011=LINE('',#1010,#968); +#1012=CARTESIAN_POINT('',(9.5E-1,4.095E0,-1.055E1)); +#1013=LINE('',#1012,#987); +#1014=CARTESIAN_POINT('',(9.5E-1,4.13E0,-1.0585E1)); +#1015=LINE('',#1014,#971); +#1016=CARTESIAN_POINT('',(1.59E0,4.095E0,-1.055E1)); +#1017=LINE('',#1016,#968); +#1018=CARTESIAN_POINT('',(3.49E0,4.095E0,-1.055E1)); +#1019=LINE('',#1018,#987); +#1020=CARTESIAN_POINT('',(3.49E0,4.13E0,-1.0585E1)); +#1021=LINE('',#1020,#971); +#1022=CARTESIAN_POINT('',(4.13E0,4.095E0,-1.055E1)); +#1023=LINE('',#1022,#968); +#1024=CARTESIAN_POINT('',(6.03E0,4.095E0,-1.055E1)); +#1025=LINE('',#1024,#987); +#1026=CARTESIAN_POINT('',(6.03E0,4.13E0,-1.0585E1)); +#1027=LINE('',#1026,#971); +#1028=CARTESIAN_POINT('',(6.67E0,4.095E0,-1.055E1)); +#1029=LINE('',#1028,#968); +#1030=CARTESIAN_POINT('',(8.57E0,4.095E0,-1.055E1)); +#1031=LINE('',#1030,#987); +#1032=CARTESIAN_POINT('',(8.57E0,4.13E0,-1.0585E1)); +#1033=LINE('',#1032,#971); +#1034=CARTESIAN_POINT('',(9.21E0,4.095E0,-1.055E1)); +#1035=LINE('',#1034,#968); +#1036=CARTESIAN_POINT('',(1.111E1,4.095E0,-1.055E1)); +#1037=LINE('',#1036,#987); +#1038=CARTESIAN_POINT('',(1.111E1,4.13E0,-1.0585E1)); +#1039=LINE('',#1038,#971); +#1040=CARTESIAN_POINT('',(1.175E1,4.095E0,-1.055E1)); +#1041=LINE('',#1040,#968); +#1042=CARTESIAN_POINT('',(1.365E1,4.095E0,-1.055E1)); +#1043=LINE('',#1042,#968); +#1044=CARTESIAN_POINT('',(1.365E1,4.13E0,-1.0585E1)); +#1045=LINE('',#1044,#971); +#1046=CARTESIAN_POINT('',(1.429E1,4.095E0,-1.055E1)); +#1047=LINE('',#1046,#968); +#1048=CARTESIAN_POINT('',(1.619E1,4.095E0,-1.055E1)); +#1049=LINE('',#1048,#987); +#1050=CARTESIAN_POINT('',(1.619E1,4.13E0,-1.0585E1)); +#1051=LINE('',#1050,#971); +#1052=CARTESIAN_POINT('',(1.683E1,4.095E0,-1.055E1)); +#1053=LINE('',#1052,#968); +#1054=CARTESIAN_POINT('',(1.873E1,4.095E0,-1.055E1)); +#1055=LINE('',#1054,#987); +#1056=CARTESIAN_POINT('',(1.873E1,4.13E0,-1.0585E1)); +#1057=LINE('',#1056,#971); +#1058=CARTESIAN_POINT('',(1.937E1,4.095E0,-1.055E1)); +#1059=LINE('',#1058,#968); +#1060=CARTESIAN_POINT('',(2.127E1,4.095E0,-1.055E1)); +#1061=LINE('',#1060,#987); +#1062=CARTESIAN_POINT('',(2.127E1,4.13E0,-1.0585E1)); +#1063=LINE('',#1062,#971); +#1064=CARTESIAN_POINT('',(2.191E1,4.095E0,-1.055E1)); +#1065=LINE('',#1064,#968); +#1066=CARTESIAN_POINT('',(2.381E1,4.095E0,-1.055E1)); +#1067=LINE('',#1066,#987); +#1068=CARTESIAN_POINT('',(2.381E1,4.13E0,-1.0585E1)); +#1069=LINE('',#1068,#971); +#1070=CARTESIAN_POINT('',(2.445E1,4.095E0,-1.055E1)); +#1071=LINE('',#1070,#968); +#1072=CARTESIAN_POINT('',(2.635E1,4.095E0,-1.055E1)); +#1073=LINE('',#1072,#968); +#1074=CARTESIAN_POINT('',(2.635E1,4.13E0,-1.0585E1)); +#1075=LINE('',#1074,#971); +#1076=CARTESIAN_POINT('',(2.699E1,4.095E0,-1.055E1)); +#1077=LINE('',#1076,#968); +#1078=CARTESIAN_POINT('',(2.889E1,4.095E0,-1.055E1)); +#1079=LINE('',#1078,#987); +#1080=CARTESIAN_POINT('',(2.889E1,4.13E0,-1.0585E1)); +#1081=LINE('',#1080,#971); +#1082=CARTESIAN_POINT('',(2.953E1,4.095E0,-1.055E1)); +#1083=LINE('',#1082,#968); +#1084=CARTESIAN_POINT('',(3.143E1,4.095E0,-1.055E1)); +#1085=LINE('',#1084,#987); +#1086=CARTESIAN_POINT('',(3.143E1,4.13E0,-1.0585E1)); +#1087=LINE('',#1086,#971); +#1088=CARTESIAN_POINT('',(3.207E1,4.095E0,-1.055E1)); +#1089=LINE('',#1088,#968); +#1090=CARTESIAN_POINT('',(3.397E1,4.095E0,-1.055E1)); +#1091=LINE('',#1090,#968); +#1092=CARTESIAN_POINT('',(3.397E1,4.13E0,-1.0585E1)); +#1093=LINE('',#1092,#971); +#1094=CARTESIAN_POINT('',(3.461E1,4.095E0,-1.055E1)); +#1095=LINE('',#1094,#968); +#1096=CARTESIAN_POINT('',(3.651E1,4.095E0,-1.055E1)); +#1097=LINE('',#1096,#968); +#1098=CARTESIAN_POINT('',(3.651E1,4.13E0,-1.0585E1)); +#1099=LINE('',#1098,#971); +#1100=CARTESIAN_POINT('',(3.715E1,4.095E0,-1.055E1)); +#1101=LINE('',#1100,#968); +#1102=CARTESIAN_POINT('',(3.905E1,4.095E0,-1.055E1)); +#1103=LINE('',#1102,#987); +#1104=CARTESIAN_POINT('',(3.905E1,4.13E0,-1.0585E1)); +#1105=LINE('',#1104,#971); +#1106=CARTESIAN_POINT('',(3.969E1,4.095E0,-1.055E1)); +#1107=LINE('',#1106,#968); +#1108=CARTESIAN_POINT('',(-3.969E1,4.095E0,-1.055E1)); +#1109=LINE('',#1108,#987); +#1110=CARTESIAN_POINT('',(-3.969E1,4.13E0,-1.0585E1)); +#1111=LINE('',#1110,#971); +#1112=CARTESIAN_POINT('',(-3.905E1,4.095E0,-1.055E1)); +#1113=LINE('',#1112,#968); +#1114=CARTESIAN_POINT('',(-3.715E1,4.095E0,-1.055E1)); +#1115=LINE('',#1114,#987); +#1116=CARTESIAN_POINT('',(-3.715E1,4.13E0,-1.0585E1)); +#1117=LINE('',#1116,#971); +#1118=CARTESIAN_POINT('',(-3.651E1,4.095E0,-1.055E1)); +#1119=LINE('',#1118,#968); +#1120=CARTESIAN_POINT('',(-3.461E1,4.095E0,-1.055E1)); +#1121=LINE('',#1120,#987); +#1122=CARTESIAN_POINT('',(-3.461E1,4.13E0,-1.0585E1)); +#1123=LINE('',#1122,#971); +#1124=CARTESIAN_POINT('',(-3.397E1,4.095E0,-1.055E1)); +#1125=LINE('',#1124,#968); +#1126=CARTESIAN_POINT('',(-3.207E1,4.095E0,-1.055E1)); +#1127=LINE('',#1126,#987); +#1128=CARTESIAN_POINT('',(-3.207E1,4.13E0,-1.0585E1)); +#1129=LINE('',#1128,#971); +#1130=CARTESIAN_POINT('',(-3.143E1,4.095E0,-1.055E1)); +#1131=LINE('',#1130,#968); +#1132=CARTESIAN_POINT('',(-2.953E1,4.095E0,-1.055E1)); +#1133=LINE('',#1132,#987); +#1134=CARTESIAN_POINT('',(-2.953E1,4.13E0,-1.0585E1)); +#1135=LINE('',#1134,#971); +#1136=CARTESIAN_POINT('',(-2.889E1,4.095E0,-1.055E1)); +#1137=LINE('',#1136,#968); +#1138=CARTESIAN_POINT('',(-2.699E1,4.095E0,-1.055E1)); +#1139=LINE('',#1138,#968); +#1140=CARTESIAN_POINT('',(-2.699E1,4.13E0,-1.0585E1)); +#1141=LINE('',#1140,#971); +#1142=CARTESIAN_POINT('',(-2.635E1,4.095E0,-1.055E1)); +#1143=LINE('',#1142,#968); +#1144=CARTESIAN_POINT('',(-2.445E1,4.095E0,-1.055E1)); +#1145=LINE('',#1144,#987); +#1146=CARTESIAN_POINT('',(-2.445E1,4.13E0,-1.0585E1)); +#1147=LINE('',#1146,#971); +#1148=CARTESIAN_POINT('',(-2.381E1,4.095E0,-1.055E1)); +#1149=LINE('',#1148,#968); +#1150=CARTESIAN_POINT('',(-2.191E1,4.095E0,-1.055E1)); +#1151=LINE('',#1150,#987); +#1152=CARTESIAN_POINT('',(-2.191E1,4.13E0,-1.0585E1)); +#1153=LINE('',#1152,#971); +#1154=CARTESIAN_POINT('',(-2.127E1,4.095E0,-1.055E1)); +#1155=LINE('',#1154,#968); +#1156=CARTESIAN_POINT('',(-1.937E1,4.095E0,-1.055E1)); +#1157=LINE('',#1156,#987); +#1158=CARTESIAN_POINT('',(-1.937E1,4.13E0,-1.0585E1)); +#1159=LINE('',#1158,#971); +#1160=LINE('',#965,#968); +#1161=VECTOR('',#72,6.4E-1); +#1162=CARTESIAN_POINT('',(-3.905E1,1.59E0,-1.055E1)); +#1163=LINE('',#1162,#1161); +#1164=VECTOR('',#76,6.4E-1); +#1165=CARTESIAN_POINT('',(-3.905E1,9.5E-1,-1.055E1)); +#1166=LINE('',#1165,#1164); +#1167=VECTOR('',#80,6.4E-1); +#1168=CARTESIAN_POINT('',(-3.969E1,9.5E-1,-1.055E1)); +#1169=LINE('',#1168,#1167); +#1170=CARTESIAN_POINT('',(-3.969E1,1.59E0,-1.055E1)); +#1171=LINE('',#1170,#971); +#1172=CARTESIAN_POINT('',(-3.651E1,1.59E0,-1.055E1)); +#1173=LINE('',#1172,#1161); +#1174=CARTESIAN_POINT('',(-3.651E1,9.5E-1,-1.055E1)); +#1175=LINE('',#1174,#1164); +#1176=CARTESIAN_POINT('',(-3.715E1,9.5E-1,-1.055E1)); +#1177=LINE('',#1176,#1167); +#1178=CARTESIAN_POINT('',(-3.715E1,1.59E0,-1.055E1)); +#1179=LINE('',#1178,#971); +#1180=CARTESIAN_POINT('',(-3.397E1,1.59E0,-1.055E1)); +#1181=LINE('',#1180,#1161); +#1182=CARTESIAN_POINT('',(-3.397E1,9.5E-1,-1.055E1)); +#1183=LINE('',#1182,#1164); +#1184=CARTESIAN_POINT('',(-3.461E1,9.5E-1,-1.055E1)); +#1185=LINE('',#1184,#1167); +#1186=CARTESIAN_POINT('',(-3.461E1,1.59E0,-1.055E1)); +#1187=LINE('',#1186,#971); +#1188=CARTESIAN_POINT('',(-3.143E1,1.59E0,-1.055E1)); +#1189=LINE('',#1188,#1161); +#1190=CARTESIAN_POINT('',(-3.143E1,9.5E-1,-1.055E1)); +#1191=LINE('',#1190,#1164); +#1192=CARTESIAN_POINT('',(-3.207E1,9.5E-1,-1.055E1)); +#1193=LINE('',#1192,#1167); +#1194=CARTESIAN_POINT('',(-3.207E1,1.59E0,-1.055E1)); +#1195=LINE('',#1194,#971); +#1196=CARTESIAN_POINT('',(-2.889E1,1.59E0,-1.055E1)); +#1197=LINE('',#1196,#1161); +#1198=CARTESIAN_POINT('',(-2.889E1,9.5E-1,-1.055E1)); +#1199=LINE('',#1198,#1164); +#1200=CARTESIAN_POINT('',(-2.953E1,9.5E-1,-1.055E1)); +#1201=LINE('',#1200,#1167); +#1202=CARTESIAN_POINT('',(-2.953E1,1.59E0,-1.055E1)); +#1203=LINE('',#1202,#971); +#1204=CARTESIAN_POINT('',(-2.635E1,1.59E0,-1.055E1)); +#1205=LINE('',#1204,#1161); +#1206=CARTESIAN_POINT('',(-2.635E1,9.5E-1,-1.055E1)); +#1207=LINE('',#1206,#1164); +#1208=CARTESIAN_POINT('',(-2.699E1,9.5E-1,-1.055E1)); +#1209=LINE('',#1208,#1167); +#1210=CARTESIAN_POINT('',(-2.699E1,1.59E0,-1.055E1)); +#1211=LINE('',#1210,#971); +#1212=CARTESIAN_POINT('',(-2.381E1,1.59E0,-1.055E1)); +#1213=LINE('',#1212,#1161); +#1214=CARTESIAN_POINT('',(-2.381E1,9.5E-1,-1.055E1)); +#1215=LINE('',#1214,#1164); +#1216=CARTESIAN_POINT('',(-2.445E1,9.5E-1,-1.055E1)); +#1217=LINE('',#1216,#1167); +#1218=CARTESIAN_POINT('',(-2.445E1,1.59E0,-1.055E1)); +#1219=LINE('',#1218,#971); +#1220=CARTESIAN_POINT('',(-2.127E1,1.59E0,-1.055E1)); +#1221=LINE('',#1220,#1161); +#1222=CARTESIAN_POINT('',(-2.127E1,9.5E-1,-1.055E1)); +#1223=LINE('',#1222,#1164); +#1224=CARTESIAN_POINT('',(-2.191E1,9.5E-1,-1.055E1)); +#1225=LINE('',#1224,#1167); +#1226=CARTESIAN_POINT('',(-2.191E1,1.59E0,-1.055E1)); +#1227=LINE('',#1226,#971); +#1228=CARTESIAN_POINT('',(-1.873E1,1.59E0,-1.055E1)); +#1229=LINE('',#1228,#1161); +#1230=CARTESIAN_POINT('',(-1.873E1,9.5E-1,-1.055E1)); +#1231=LINE('',#1230,#1164); +#1232=CARTESIAN_POINT('',(-1.937E1,9.5E-1,-1.055E1)); +#1233=LINE('',#1232,#1167); +#1234=CARTESIAN_POINT('',(-1.937E1,1.59E0,-1.055E1)); +#1235=LINE('',#1234,#971); +#1236=CARTESIAN_POINT('',(-1.619E1,1.59E0,-1.055E1)); +#1237=LINE('',#1236,#1161); +#1238=CARTESIAN_POINT('',(-1.619E1,9.5E-1,-1.055E1)); +#1239=LINE('',#1238,#1164); +#1240=CARTESIAN_POINT('',(-1.683E1,9.5E-1,-1.055E1)); +#1241=LINE('',#1240,#1167); +#1242=CARTESIAN_POINT('',(-1.683E1,1.59E0,-1.055E1)); +#1243=LINE('',#1242,#971); +#1244=CARTESIAN_POINT('',(-1.365E1,1.59E0,-1.055E1)); +#1245=LINE('',#1244,#1161); +#1246=CARTESIAN_POINT('',(-1.365E1,9.5E-1,-1.055E1)); +#1247=LINE('',#1246,#1164); +#1248=CARTESIAN_POINT('',(-1.429E1,9.5E-1,-1.055E1)); +#1249=LINE('',#1248,#1167); +#1250=CARTESIAN_POINT('',(-1.429E1,1.59E0,-1.055E1)); +#1251=LINE('',#1250,#971); +#1252=CARTESIAN_POINT('',(-1.111E1,1.59E0,-1.055E1)); +#1253=LINE('',#1252,#1161); +#1254=CARTESIAN_POINT('',(-1.111E1,9.5E-1,-1.055E1)); +#1255=LINE('',#1254,#1164); +#1256=CARTESIAN_POINT('',(-1.175E1,9.5E-1,-1.055E1)); +#1257=LINE('',#1256,#1167); +#1258=CARTESIAN_POINT('',(-1.175E1,1.59E0,-1.055E1)); +#1259=LINE('',#1258,#971); +#1260=CARTESIAN_POINT('',(-8.57E0,1.59E0,-1.055E1)); +#1261=LINE('',#1260,#1161); +#1262=CARTESIAN_POINT('',(-8.57E0,9.5E-1,-1.055E1)); +#1263=LINE('',#1262,#1164); +#1264=DIRECTION('',(-1.110223024625E-14,1.E0,0.E0)); +#1265=VECTOR('',#1264,6.4E-1); +#1266=CARTESIAN_POINT('',(-9.21E0,9.5E-1,-1.055E1)); +#1267=LINE('',#1266,#1265); +#1268=CARTESIAN_POINT('',(-9.21E0,1.59E0,-1.055E1)); +#1269=LINE('',#1268,#971); +#1270=CARTESIAN_POINT('',(-6.03E0,1.59E0,-1.055E1)); +#1271=LINE('',#1270,#1161); +#1272=CARTESIAN_POINT('',(-6.03E0,9.5E-1,-1.055E1)); +#1273=LINE('',#1272,#1164); +#1274=CARTESIAN_POINT('',(-6.67E0,9.5E-1,-1.055E1)); +#1275=LINE('',#1274,#1167); +#1276=CARTESIAN_POINT('',(-6.67E0,1.59E0,-1.055E1)); +#1277=LINE('',#1276,#971); +#1278=CARTESIAN_POINT('',(-3.49E0,1.59E0,-1.055E1)); +#1279=LINE('',#1278,#1161); +#1280=CARTESIAN_POINT('',(-3.49E0,9.5E-1,-1.055E1)); +#1281=LINE('',#1280,#1164); +#1282=CARTESIAN_POINT('',(-4.13E0,9.5E-1,-1.055E1)); +#1283=LINE('',#1282,#1167); +#1284=CARTESIAN_POINT('',(-4.13E0,1.59E0,-1.055E1)); +#1285=LINE('',#1284,#971); +#1286=CARTESIAN_POINT('',(-9.5E-1,1.59E0,-1.055E1)); +#1287=LINE('',#1286,#1161); +#1288=CARTESIAN_POINT('',(-9.5E-1,9.5E-1,-1.055E1)); +#1289=LINE('',#1288,#1164); +#1290=CARTESIAN_POINT('',(-1.59E0,9.5E-1,-1.055E1)); +#1291=LINE('',#1290,#1167); +#1292=CARTESIAN_POINT('',(-1.59E0,1.59E0,-1.055E1)); +#1293=LINE('',#1292,#971); +#1294=CARTESIAN_POINT('',(1.59E0,1.59E0,-1.055E1)); +#1295=LINE('',#1294,#1161); +#1296=CARTESIAN_POINT('',(1.59E0,9.5E-1,-1.055E1)); +#1297=LINE('',#1296,#1164); +#1298=CARTESIAN_POINT('',(9.5E-1,9.5E-1,-1.055E1)); +#1299=LINE('',#1298,#1167); +#1300=CARTESIAN_POINT('',(9.5E-1,1.59E0,-1.055E1)); +#1301=LINE('',#1300,#971); +#1302=CARTESIAN_POINT('',(4.13E0,1.59E0,-1.055E1)); +#1303=LINE('',#1302,#1161); +#1304=CARTESIAN_POINT('',(4.13E0,9.5E-1,-1.055E1)); +#1305=LINE('',#1304,#1164); +#1306=CARTESIAN_POINT('',(3.49E0,9.5E-1,-1.055E1)); +#1307=LINE('',#1306,#1167); +#1308=CARTESIAN_POINT('',(3.49E0,1.59E0,-1.055E1)); +#1309=LINE('',#1308,#971); +#1310=CARTESIAN_POINT('',(6.67E0,1.59E0,-1.055E1)); +#1311=LINE('',#1310,#1161); +#1312=CARTESIAN_POINT('',(6.67E0,9.5E-1,-1.055E1)); +#1313=LINE('',#1312,#1164); +#1314=CARTESIAN_POINT('',(6.03E0,9.5E-1,-1.055E1)); +#1315=LINE('',#1314,#1167); +#1316=CARTESIAN_POINT('',(6.03E0,1.59E0,-1.055E1)); +#1317=LINE('',#1316,#971); +#1318=CARTESIAN_POINT('',(9.21E0,1.59E0,-1.055E1)); +#1319=LINE('',#1318,#1161); +#1320=CARTESIAN_POINT('',(9.21E0,9.5E-1,-1.055E1)); +#1321=LINE('',#1320,#1164); +#1322=CARTESIAN_POINT('',(8.57E0,9.5E-1,-1.055E1)); +#1323=LINE('',#1322,#1167); +#1324=CARTESIAN_POINT('',(8.57E0,1.59E0,-1.055E1)); +#1325=LINE('',#1324,#971); +#1326=CARTESIAN_POINT('',(1.175E1,1.59E0,-1.055E1)); +#1327=LINE('',#1326,#1161); +#1328=CARTESIAN_POINT('',(1.175E1,9.5E-1,-1.055E1)); +#1329=LINE('',#1328,#1164); +#1330=CARTESIAN_POINT('',(1.111E1,9.5E-1,-1.055E1)); +#1331=LINE('',#1330,#1167); +#1332=CARTESIAN_POINT('',(1.111E1,1.59E0,-1.055E1)); +#1333=LINE('',#1332,#971); +#1334=CARTESIAN_POINT('',(1.429E1,1.59E0,-1.055E1)); +#1335=LINE('',#1334,#1161); +#1336=CARTESIAN_POINT('',(1.429E1,9.5E-1,-1.055E1)); +#1337=LINE('',#1336,#1164); +#1338=CARTESIAN_POINT('',(1.365E1,9.5E-1,-1.055E1)); +#1339=LINE('',#1338,#1167); +#1340=CARTESIAN_POINT('',(1.365E1,1.59E0,-1.055E1)); +#1341=LINE('',#1340,#971); +#1342=CARTESIAN_POINT('',(1.683E1,1.59E0,-1.055E1)); +#1343=LINE('',#1342,#1161); +#1344=CARTESIAN_POINT('',(1.683E1,9.5E-1,-1.055E1)); +#1345=LINE('',#1344,#1164); +#1346=CARTESIAN_POINT('',(1.619E1,9.5E-1,-1.055E1)); +#1347=LINE('',#1346,#1167); +#1348=CARTESIAN_POINT('',(1.619E1,1.59E0,-1.055E1)); +#1349=LINE('',#1348,#971); +#1350=CARTESIAN_POINT('',(1.937E1,1.59E0,-1.055E1)); +#1351=LINE('',#1350,#1161); +#1352=CARTESIAN_POINT('',(1.937E1,9.5E-1,-1.055E1)); +#1353=LINE('',#1352,#1164); +#1354=CARTESIAN_POINT('',(1.873E1,9.5E-1,-1.055E1)); +#1355=LINE('',#1354,#1167); +#1356=CARTESIAN_POINT('',(1.873E1,1.59E0,-1.055E1)); +#1357=LINE('',#1356,#971); +#1358=CARTESIAN_POINT('',(2.191E1,1.59E0,-1.055E1)); +#1359=LINE('',#1358,#1161); +#1360=CARTESIAN_POINT('',(2.191E1,9.5E-1,-1.055E1)); +#1361=LINE('',#1360,#1164); +#1362=CARTESIAN_POINT('',(2.127E1,9.5E-1,-1.055E1)); +#1363=LINE('',#1362,#1167); +#1364=CARTESIAN_POINT('',(2.127E1,1.59E0,-1.055E1)); +#1365=LINE('',#1364,#971); +#1366=CARTESIAN_POINT('',(2.445E1,1.59E0,-1.055E1)); +#1367=LINE('',#1366,#1161); +#1368=CARTESIAN_POINT('',(2.445E1,9.5E-1,-1.055E1)); +#1369=LINE('',#1368,#1164); +#1370=CARTESIAN_POINT('',(2.381E1,9.5E-1,-1.055E1)); +#1371=LINE('',#1370,#1167); +#1372=CARTESIAN_POINT('',(2.381E1,1.59E0,-1.055E1)); +#1373=LINE('',#1372,#971); +#1374=CARTESIAN_POINT('',(2.699E1,1.59E0,-1.055E1)); +#1375=LINE('',#1374,#1161); +#1376=CARTESIAN_POINT('',(2.699E1,9.5E-1,-1.055E1)); +#1377=LINE('',#1376,#1164); +#1378=CARTESIAN_POINT('',(2.635E1,9.5E-1,-1.055E1)); +#1379=LINE('',#1378,#1167); +#1380=CARTESIAN_POINT('',(2.635E1,1.59E0,-1.055E1)); +#1381=LINE('',#1380,#971); +#1382=CARTESIAN_POINT('',(2.953E1,1.59E0,-1.055E1)); +#1383=LINE('',#1382,#1161); +#1384=CARTESIAN_POINT('',(2.953E1,9.5E-1,-1.055E1)); +#1385=LINE('',#1384,#1164); +#1386=CARTESIAN_POINT('',(2.889E1,9.5E-1,-1.055E1)); +#1387=LINE('',#1386,#1167); +#1388=CARTESIAN_POINT('',(2.889E1,1.59E0,-1.055E1)); +#1389=LINE('',#1388,#971); +#1390=CARTESIAN_POINT('',(3.207E1,1.59E0,-1.055E1)); +#1391=LINE('',#1390,#1161); +#1392=CARTESIAN_POINT('',(3.207E1,9.5E-1,-1.055E1)); +#1393=LINE('',#1392,#1164); +#1394=CARTESIAN_POINT('',(3.143E1,9.5E-1,-1.055E1)); +#1395=LINE('',#1394,#1167); +#1396=CARTESIAN_POINT('',(3.143E1,1.59E0,-1.055E1)); +#1397=LINE('',#1396,#971); +#1398=CARTESIAN_POINT('',(3.461E1,1.59E0,-1.055E1)); +#1399=LINE('',#1398,#1161); +#1400=CARTESIAN_POINT('',(3.461E1,9.5E-1,-1.055E1)); +#1401=LINE('',#1400,#1164); +#1402=CARTESIAN_POINT('',(3.397E1,9.5E-1,-1.055E1)); +#1403=LINE('',#1402,#1167); +#1404=CARTESIAN_POINT('',(3.397E1,1.59E0,-1.055E1)); +#1405=LINE('',#1404,#971); +#1406=CARTESIAN_POINT('',(3.715E1,1.59E0,-1.055E1)); +#1407=LINE('',#1406,#1161); +#1408=CARTESIAN_POINT('',(3.715E1,9.5E-1,-1.055E1)); +#1409=LINE('',#1408,#1164); +#1410=CARTESIAN_POINT('',(3.651E1,9.5E-1,-1.055E1)); +#1411=LINE('',#1410,#1167); +#1412=CARTESIAN_POINT('',(3.651E1,1.59E0,-1.055E1)); +#1413=LINE('',#1412,#971); +#1414=CARTESIAN_POINT('',(3.969E1,1.59E0,-1.055E1)); +#1415=LINE('',#1414,#1161); +#1416=CARTESIAN_POINT('',(3.969E1,9.5E-1,-1.055E1)); +#1417=LINE('',#1416,#1164); +#1418=CARTESIAN_POINT('',(3.905E1,9.5E-1,-1.055E1)); +#1419=LINE('',#1418,#1167); +#1420=CARTESIAN_POINT('',(3.905E1,1.59E0,-1.055E1)); +#1421=LINE('',#1420,#971); +#1422=VECTOR('',#72,6.05E-1); +#1423=LINE('',#965,#1422); +#1424=CARTESIAN_POINT('',(-1.873E1,3.49E0,-1.055E1)); +#1425=LINE('',#1424,#1164); +#1426=LINE('',#1156,#1422); +#1427=VECTOR('',#76,1.9E0); +#1428=LINE('',#1156,#1427); +#1429=LINE('',#1154,#1422); +#1430=CARTESIAN_POINT('',(-2.127E1,3.49E0,-1.055E1)); +#1431=LINE('',#1430,#1164); +#1432=LINE('',#1150,#1422); +#1433=LINE('',#1150,#1427); +#1434=LINE('',#1148,#1422); +#1435=CARTESIAN_POINT('',(-2.381E1,3.49E0,-1.055E1)); +#1436=LINE('',#1435,#1164); +#1437=LINE('',#1144,#1422); +#1438=LINE('',#1144,#1427); +#1439=LINE('',#1142,#1422); +#1440=CARTESIAN_POINT('',(-2.635E1,3.49E0,-1.055E1)); +#1441=LINE('',#1440,#1164); +#1442=LINE('',#1138,#1422); +#1443=LINE('',#1138,#1427); +#1444=LINE('',#1136,#1422); +#1445=CARTESIAN_POINT('',(-2.889E1,3.49E0,-1.055E1)); +#1446=LINE('',#1445,#1164); +#1447=LINE('',#1132,#1422); +#1448=LINE('',#1132,#1427); +#1449=LINE('',#1130,#1422); +#1450=CARTESIAN_POINT('',(-3.143E1,3.49E0,-1.055E1)); +#1451=LINE('',#1450,#1164); +#1452=LINE('',#1126,#1422); +#1453=LINE('',#1126,#1427); +#1454=LINE('',#1124,#1422); +#1455=CARTESIAN_POINT('',(-3.397E1,3.49E0,-1.055E1)); +#1456=LINE('',#1455,#1164); +#1457=LINE('',#1120,#1422); +#1458=LINE('',#1120,#1427); +#1459=LINE('',#1118,#1422); +#1460=CARTESIAN_POINT('',(-3.651E1,3.49E0,-1.055E1)); +#1461=LINE('',#1460,#1164); +#1462=LINE('',#1114,#1422); +#1463=LINE('',#1114,#1427); +#1464=LINE('',#1112,#1422); +#1465=CARTESIAN_POINT('',(-3.905E1,3.49E0,-1.055E1)); +#1466=LINE('',#1465,#1164); +#1467=LINE('',#1108,#1422); +#1468=VECTOR('',#76,1.11E0); +#1469=LINE('',#1108,#1468); +#1470=CARTESIAN_POINT('',(4.08E1,4.095E0,-1.055E1)); +#1471=LINE('',#1470,#1468); +#1472=LINE('',#1106,#1422); +#1473=CARTESIAN_POINT('',(3.969E1,3.49E0,-1.055E1)); +#1474=LINE('',#1473,#1164); +#1475=LINE('',#1102,#1422); +#1476=LINE('',#1102,#1427); +#1477=LINE('',#1100,#1422); +#1478=CARTESIAN_POINT('',(3.715E1,3.49E0,-1.055E1)); +#1479=LINE('',#1478,#1164); +#1480=LINE('',#1096,#1422); +#1481=LINE('',#1096,#1427); +#1482=LINE('',#1094,#1422); +#1483=CARTESIAN_POINT('',(3.461E1,3.49E0,-1.055E1)); +#1484=LINE('',#1483,#1164); +#1485=LINE('',#1090,#1422); +#1486=LINE('',#1090,#1427); +#1487=LINE('',#1088,#1422); +#1488=CARTESIAN_POINT('',(3.207E1,3.49E0,-1.055E1)); +#1489=LINE('',#1488,#1164); +#1490=LINE('',#1084,#1422); +#1491=LINE('',#1084,#1427); +#1492=LINE('',#1082,#1422); +#1493=CARTESIAN_POINT('',(2.953E1,3.49E0,-1.055E1)); +#1494=LINE('',#1493,#1164); +#1495=LINE('',#1078,#1422); +#1496=LINE('',#1078,#1427); +#1497=LINE('',#1076,#1422); +#1498=CARTESIAN_POINT('',(2.699E1,3.49E0,-1.055E1)); +#1499=LINE('',#1498,#1164); +#1500=LINE('',#1072,#1422); +#1501=LINE('',#1072,#1427); +#1502=LINE('',#1070,#1422); +#1503=CARTESIAN_POINT('',(2.445E1,3.49E0,-1.055E1)); +#1504=LINE('',#1503,#1164); +#1505=LINE('',#1066,#1422); +#1506=LINE('',#1066,#1427); +#1507=LINE('',#1064,#1422); +#1508=CARTESIAN_POINT('',(2.191E1,3.49E0,-1.055E1)); +#1509=LINE('',#1508,#1164); +#1510=LINE('',#1060,#1422); +#1511=LINE('',#1060,#1427); +#1512=LINE('',#1058,#1422); +#1513=CARTESIAN_POINT('',(1.937E1,3.49E0,-1.055E1)); +#1514=LINE('',#1513,#1164); +#1515=LINE('',#1054,#1422); +#1516=LINE('',#1054,#1427); +#1517=LINE('',#1052,#1422); +#1518=CARTESIAN_POINT('',(1.683E1,3.49E0,-1.055E1)); +#1519=LINE('',#1518,#1164); +#1520=LINE('',#1048,#1422); +#1521=LINE('',#1048,#1427); +#1522=LINE('',#1046,#1422); +#1523=CARTESIAN_POINT('',(1.429E1,3.49E0,-1.055E1)); +#1524=LINE('',#1523,#1164); +#1525=LINE('',#1042,#1422); +#1526=LINE('',#1042,#1427); +#1527=LINE('',#1040,#1422); +#1528=CARTESIAN_POINT('',(1.175E1,3.49E0,-1.055E1)); +#1529=LINE('',#1528,#1164); +#1530=LINE('',#1036,#1422); +#1531=LINE('',#1036,#1427); +#1532=LINE('',#1034,#1422); +#1533=CARTESIAN_POINT('',(9.21E0,3.49E0,-1.055E1)); +#1534=LINE('',#1533,#1164); +#1535=LINE('',#1030,#1422); +#1536=LINE('',#1030,#1427); +#1537=LINE('',#1028,#1422); +#1538=CARTESIAN_POINT('',(6.67E0,3.49E0,-1.055E1)); +#1539=LINE('',#1538,#1164); +#1540=LINE('',#1024,#1422); +#1541=LINE('',#1024,#1427); +#1542=LINE('',#1022,#1422); +#1543=CARTESIAN_POINT('',(4.13E0,3.49E0,-1.055E1)); +#1544=LINE('',#1543,#1164); +#1545=LINE('',#1018,#1422); +#1546=LINE('',#1018,#1427); +#1547=LINE('',#1016,#1422); +#1548=CARTESIAN_POINT('',(1.59E0,3.49E0,-1.055E1)); +#1549=LINE('',#1548,#1164); +#1550=LINE('',#1012,#1422); +#1551=LINE('',#1012,#1427); +#1552=LINE('',#1010,#1422); +#1553=CARTESIAN_POINT('',(-9.5E-1,3.49E0,-1.055E1)); +#1554=LINE('',#1553,#1164); +#1555=LINE('',#1006,#1422); +#1556=LINE('',#1006,#1427); +#1557=LINE('',#1004,#1422); +#1558=CARTESIAN_POINT('',(-3.49E0,3.49E0,-1.055E1)); +#1559=LINE('',#1558,#1164); +#1560=LINE('',#1000,#1422); +#1561=LINE('',#1000,#1427); +#1562=LINE('',#998,#1422); +#1563=CARTESIAN_POINT('',(-6.03E0,3.49E0,-1.055E1)); +#1564=LINE('',#1563,#1164); +#1565=LINE('',#994,#1422); +#1566=LINE('',#994,#1427); +#1567=LINE('',#992,#1422); +#1568=CARTESIAN_POINT('',(-8.57E0,3.49E0,-1.055E1)); +#1569=LINE('',#1568,#1164); +#1570=LINE('',#988,#1422); +#1571=LINE('',#988,#1427); +#1572=LINE('',#984,#1422); +#1573=CARTESIAN_POINT('',(-1.111E1,3.49E0,-1.055E1)); +#1574=LINE('',#1573,#1164); +#1575=LINE('',#978,#1422); +#1576=LINE('',#978,#1427); +#1577=LINE('',#974,#1422); +#1578=CARTESIAN_POINT('',(-1.365E1,3.49E0,-1.055E1)); +#1579=LINE('',#1578,#1164); +#1580=LINE('',#969,#1422); +#1581=VECTOR('',#918,1.277E1); +#1582=LINE('',#1162,#1581); +#1583=LINE('',#1170,#1581); +#1584=LINE('',#1168,#1581); +#1585=LINE('',#1165,#1581); +#1586=CARTESIAN_POINT('',(-3.905E1,1.59E0,-2.332E1)); +#1587=LINE('',#1586,#1161); +#1588=CARTESIAN_POINT('',(-3.969E1,1.59E0,-2.332E1)); +#1589=LINE('',#1588,#971); +#1590=CARTESIAN_POINT('',(-3.969E1,9.5E-1,-2.332E1)); +#1591=LINE('',#1590,#1167); +#1592=CARTESIAN_POINT('',(-3.905E1,9.5E-1,-2.332E1)); +#1593=LINE('',#1592,#1164); +#1594=LINE('',#1172,#1581); +#1595=LINE('',#1178,#1581); +#1596=LINE('',#1176,#1581); +#1597=LINE('',#1174,#1581); +#1598=CARTESIAN_POINT('',(-3.651E1,1.59E0,-2.332E1)); +#1599=LINE('',#1598,#1161); +#1600=CARTESIAN_POINT('',(-3.715E1,1.59E0,-2.332E1)); +#1601=LINE('',#1600,#971); +#1602=CARTESIAN_POINT('',(-3.715E1,9.5E-1,-2.332E1)); +#1603=LINE('',#1602,#1167); +#1604=CARTESIAN_POINT('',(-3.651E1,9.5E-1,-2.332E1)); +#1605=LINE('',#1604,#1164); +#1606=LINE('',#1180,#1581); +#1607=LINE('',#1186,#1581); +#1608=LINE('',#1184,#1581); +#1609=LINE('',#1182,#1581); +#1610=CARTESIAN_POINT('',(-3.397E1,1.59E0,-2.332E1)); +#1611=LINE('',#1610,#1161); +#1612=CARTESIAN_POINT('',(-3.461E1,1.59E0,-2.332E1)); +#1613=LINE('',#1612,#971); +#1614=CARTESIAN_POINT('',(-3.461E1,9.5E-1,-2.332E1)); +#1615=LINE('',#1614,#1167); +#1616=CARTESIAN_POINT('',(-3.397E1,9.5E-1,-2.332E1)); +#1617=LINE('',#1616,#1164); +#1618=LINE('',#1188,#1581); +#1619=LINE('',#1194,#1581); +#1620=LINE('',#1192,#1581); +#1621=LINE('',#1190,#1581); +#1622=CARTESIAN_POINT('',(-3.143E1,1.59E0,-2.332E1)); +#1623=LINE('',#1622,#1161); +#1624=CARTESIAN_POINT('',(-3.207E1,1.59E0,-2.332E1)); +#1625=LINE('',#1624,#971); +#1626=CARTESIAN_POINT('',(-3.207E1,9.5E-1,-2.332E1)); +#1627=LINE('',#1626,#1167); +#1628=CARTESIAN_POINT('',(-3.143E1,9.5E-1,-2.332E1)); +#1629=LINE('',#1628,#1164); +#1630=LINE('',#1196,#1581); +#1631=LINE('',#1202,#1581); +#1632=LINE('',#1200,#1581); +#1633=LINE('',#1198,#1581); +#1634=CARTESIAN_POINT('',(-2.889E1,1.59E0,-2.332E1)); +#1635=LINE('',#1634,#1161); +#1636=CARTESIAN_POINT('',(-2.953E1,1.59E0,-2.332E1)); +#1637=LINE('',#1636,#971); +#1638=CARTESIAN_POINT('',(-2.953E1,9.5E-1,-2.332E1)); +#1639=LINE('',#1638,#1167); +#1640=CARTESIAN_POINT('',(-2.889E1,9.5E-1,-2.332E1)); +#1641=LINE('',#1640,#1164); +#1642=LINE('',#1204,#1581); +#1643=LINE('',#1210,#1581); +#1644=LINE('',#1208,#1581); +#1645=LINE('',#1206,#1581); +#1646=CARTESIAN_POINT('',(-2.635E1,1.59E0,-2.332E1)); +#1647=LINE('',#1646,#1161); +#1648=CARTESIAN_POINT('',(-2.699E1,1.59E0,-2.332E1)); +#1649=LINE('',#1648,#971); +#1650=CARTESIAN_POINT('',(-2.699E1,9.5E-1,-2.332E1)); +#1651=LINE('',#1650,#1167); +#1652=CARTESIAN_POINT('',(-2.635E1,9.5E-1,-2.332E1)); +#1653=LINE('',#1652,#1164); +#1654=LINE('',#1212,#1581); +#1655=LINE('',#1218,#1581); +#1656=LINE('',#1216,#1581); +#1657=LINE('',#1214,#1581); +#1658=CARTESIAN_POINT('',(-2.381E1,1.59E0,-2.332E1)); +#1659=LINE('',#1658,#1161); +#1660=CARTESIAN_POINT('',(-2.445E1,1.59E0,-2.332E1)); +#1661=LINE('',#1660,#971); +#1662=CARTESIAN_POINT('',(-2.445E1,9.5E-1,-2.332E1)); +#1663=LINE('',#1662,#1167); +#1664=CARTESIAN_POINT('',(-2.381E1,9.5E-1,-2.332E1)); +#1665=LINE('',#1664,#1164); +#1666=LINE('',#1220,#1581); +#1667=LINE('',#1226,#1581); +#1668=LINE('',#1224,#1581); +#1669=LINE('',#1222,#1581); +#1670=CARTESIAN_POINT('',(-2.127E1,1.59E0,-2.332E1)); +#1671=LINE('',#1670,#1161); +#1672=CARTESIAN_POINT('',(-2.191E1,1.59E0,-2.332E1)); +#1673=LINE('',#1672,#971); +#1674=CARTESIAN_POINT('',(-2.191E1,9.5E-1,-2.332E1)); +#1675=LINE('',#1674,#1167); +#1676=CARTESIAN_POINT('',(-2.127E1,9.5E-1,-2.332E1)); +#1677=LINE('',#1676,#1164); +#1678=LINE('',#1228,#1581); +#1679=LINE('',#1234,#1581); +#1680=LINE('',#1232,#1581); +#1681=LINE('',#1230,#1581); +#1682=CARTESIAN_POINT('',(-1.873E1,1.59E0,-2.332E1)); +#1683=LINE('',#1682,#1161); +#1684=CARTESIAN_POINT('',(-1.937E1,1.59E0,-2.332E1)); +#1685=LINE('',#1684,#971); +#1686=CARTESIAN_POINT('',(-1.937E1,9.5E-1,-2.332E1)); +#1687=LINE('',#1686,#1167); +#1688=CARTESIAN_POINT('',(-1.873E1,9.5E-1,-2.332E1)); +#1689=LINE('',#1688,#1164); +#1690=LINE('',#1236,#1581); +#1691=LINE('',#1242,#1581); +#1692=LINE('',#1240,#1581); +#1693=LINE('',#1238,#1581); +#1694=CARTESIAN_POINT('',(-1.619E1,1.59E0,-2.332E1)); +#1695=LINE('',#1694,#1161); +#1696=CARTESIAN_POINT('',(-1.683E1,1.59E0,-2.332E1)); +#1697=LINE('',#1696,#971); +#1698=CARTESIAN_POINT('',(-1.683E1,9.5E-1,-2.332E1)); +#1699=LINE('',#1698,#1167); +#1700=CARTESIAN_POINT('',(-1.619E1,9.5E-1,-2.332E1)); +#1701=LINE('',#1700,#1164); +#1702=LINE('',#1244,#1581); +#1703=LINE('',#1250,#1581); +#1704=LINE('',#1248,#1581); +#1705=LINE('',#1246,#1581); +#1706=CARTESIAN_POINT('',(-1.365E1,1.59E0,-2.332E1)); +#1707=LINE('',#1706,#1161); +#1708=CARTESIAN_POINT('',(-1.429E1,1.59E0,-2.332E1)); +#1709=LINE('',#1708,#971); +#1710=CARTESIAN_POINT('',(-1.429E1,9.5E-1,-2.332E1)); +#1711=LINE('',#1710,#1167); +#1712=CARTESIAN_POINT('',(-1.365E1,9.5E-1,-2.332E1)); +#1713=LINE('',#1712,#1164); +#1714=LINE('',#1252,#1581); +#1715=LINE('',#1258,#1581); +#1716=LINE('',#1256,#1581); +#1717=LINE('',#1254,#1581); +#1718=CARTESIAN_POINT('',(-1.111E1,1.59E0,-2.332E1)); +#1719=LINE('',#1718,#1161); +#1720=CARTESIAN_POINT('',(-1.175E1,1.59E0,-2.332E1)); +#1721=LINE('',#1720,#971); +#1722=CARTESIAN_POINT('',(-1.175E1,9.5E-1,-2.332E1)); +#1723=LINE('',#1722,#1167); +#1724=CARTESIAN_POINT('',(-1.111E1,9.5E-1,-2.332E1)); +#1725=LINE('',#1724,#1164); +#1726=LINE('',#1260,#1581); +#1727=LINE('',#1268,#1581); +#1728=LINE('',#1266,#1581); +#1729=LINE('',#1262,#1581); +#1730=CARTESIAN_POINT('',(-8.57E0,1.59E0,-2.332E1)); +#1731=LINE('',#1730,#1161); +#1732=CARTESIAN_POINT('',(-9.21E0,1.59E0,-2.332E1)); +#1733=LINE('',#1732,#971); +#1734=CARTESIAN_POINT('',(-9.21E0,9.5E-1,-2.332E1)); +#1735=LINE('',#1734,#1265); +#1736=CARTESIAN_POINT('',(-8.57E0,9.5E-1,-2.332E1)); +#1737=LINE('',#1736,#1164); +#1738=LINE('',#1270,#1581); +#1739=LINE('',#1276,#1581); +#1740=LINE('',#1274,#1581); +#1741=LINE('',#1272,#1581); +#1742=CARTESIAN_POINT('',(-6.03E0,1.59E0,-2.332E1)); +#1743=LINE('',#1742,#1161); +#1744=CARTESIAN_POINT('',(-6.67E0,1.59E0,-2.332E1)); +#1745=LINE('',#1744,#971); +#1746=CARTESIAN_POINT('',(-6.67E0,9.5E-1,-2.332E1)); +#1747=LINE('',#1746,#1167); +#1748=CARTESIAN_POINT('',(-6.03E0,9.5E-1,-2.332E1)); +#1749=LINE('',#1748,#1164); +#1750=LINE('',#1278,#1581); +#1751=LINE('',#1284,#1581); +#1752=LINE('',#1282,#1581); +#1753=LINE('',#1280,#1581); +#1754=CARTESIAN_POINT('',(-3.49E0,1.59E0,-2.332E1)); +#1755=LINE('',#1754,#1161); +#1756=CARTESIAN_POINT('',(-4.13E0,1.59E0,-2.332E1)); +#1757=LINE('',#1756,#971); +#1758=CARTESIAN_POINT('',(-4.13E0,9.5E-1,-2.332E1)); +#1759=LINE('',#1758,#1167); +#1760=CARTESIAN_POINT('',(-3.49E0,9.5E-1,-2.332E1)); +#1761=LINE('',#1760,#1164); +#1762=LINE('',#1286,#1581); +#1763=LINE('',#1292,#1581); +#1764=LINE('',#1290,#1581); +#1765=LINE('',#1288,#1581); +#1766=CARTESIAN_POINT('',(-9.5E-1,1.59E0,-2.332E1)); +#1767=LINE('',#1766,#1161); +#1768=CARTESIAN_POINT('',(-1.59E0,1.59E0,-2.332E1)); +#1769=LINE('',#1768,#971); +#1770=CARTESIAN_POINT('',(-1.59E0,9.5E-1,-2.332E1)); +#1771=LINE('',#1770,#1167); +#1772=CARTESIAN_POINT('',(-9.5E-1,9.5E-1,-2.332E1)); +#1773=LINE('',#1772,#1164); +#1774=LINE('',#1294,#1581); +#1775=LINE('',#1300,#1581); +#1776=LINE('',#1298,#1581); +#1777=LINE('',#1296,#1581); +#1778=CARTESIAN_POINT('',(1.59E0,1.59E0,-2.332E1)); +#1779=LINE('',#1778,#1161); +#1780=CARTESIAN_POINT('',(9.5E-1,1.59E0,-2.332E1)); +#1781=LINE('',#1780,#971); +#1782=CARTESIAN_POINT('',(9.5E-1,9.5E-1,-2.332E1)); +#1783=LINE('',#1782,#1167); +#1784=CARTESIAN_POINT('',(1.59E0,9.5E-1,-2.332E1)); +#1785=LINE('',#1784,#1164); +#1786=LINE('',#1302,#1581); +#1787=LINE('',#1308,#1581); +#1788=LINE('',#1306,#1581); +#1789=LINE('',#1304,#1581); +#1790=CARTESIAN_POINT('',(4.13E0,1.59E0,-2.332E1)); +#1791=LINE('',#1790,#1161); +#1792=CARTESIAN_POINT('',(3.49E0,1.59E0,-2.332E1)); +#1793=LINE('',#1792,#971); +#1794=CARTESIAN_POINT('',(3.49E0,9.5E-1,-2.332E1)); +#1795=LINE('',#1794,#1167); +#1796=CARTESIAN_POINT('',(4.13E0,9.5E-1,-2.332E1)); +#1797=LINE('',#1796,#1164); +#1798=LINE('',#1310,#1581); +#1799=LINE('',#1316,#1581); +#1800=LINE('',#1314,#1581); +#1801=LINE('',#1312,#1581); +#1802=CARTESIAN_POINT('',(6.67E0,1.59E0,-2.332E1)); +#1803=LINE('',#1802,#1161); +#1804=CARTESIAN_POINT('',(6.03E0,1.59E0,-2.332E1)); +#1805=LINE('',#1804,#971); +#1806=CARTESIAN_POINT('',(6.03E0,9.5E-1,-2.332E1)); +#1807=LINE('',#1806,#1167); +#1808=CARTESIAN_POINT('',(6.67E0,9.5E-1,-2.332E1)); +#1809=LINE('',#1808,#1164); +#1810=LINE('',#1318,#1581); +#1811=LINE('',#1324,#1581); +#1812=LINE('',#1322,#1581); +#1813=LINE('',#1320,#1581); +#1814=CARTESIAN_POINT('',(9.21E0,1.59E0,-2.332E1)); +#1815=LINE('',#1814,#1161); +#1816=CARTESIAN_POINT('',(8.57E0,1.59E0,-2.332E1)); +#1817=LINE('',#1816,#971); +#1818=CARTESIAN_POINT('',(8.57E0,9.5E-1,-2.332E1)); +#1819=LINE('',#1818,#1167); +#1820=CARTESIAN_POINT('',(9.21E0,9.5E-1,-2.332E1)); +#1821=LINE('',#1820,#1164); +#1822=LINE('',#1326,#1581); +#1823=LINE('',#1332,#1581); +#1824=LINE('',#1330,#1581); +#1825=LINE('',#1328,#1581); +#1826=CARTESIAN_POINT('',(1.175E1,1.59E0,-2.332E1)); +#1827=LINE('',#1826,#1161); +#1828=CARTESIAN_POINT('',(1.111E1,1.59E0,-2.332E1)); +#1829=LINE('',#1828,#971); +#1830=CARTESIAN_POINT('',(1.111E1,9.5E-1,-2.332E1)); +#1831=LINE('',#1830,#1167); +#1832=CARTESIAN_POINT('',(1.175E1,9.5E-1,-2.332E1)); +#1833=LINE('',#1832,#1164); +#1834=LINE('',#1334,#1581); +#1835=LINE('',#1340,#1581); +#1836=LINE('',#1338,#1581); +#1837=LINE('',#1336,#1581); +#1838=CARTESIAN_POINT('',(1.429E1,1.59E0,-2.332E1)); +#1839=LINE('',#1838,#1161); +#1840=CARTESIAN_POINT('',(1.365E1,1.59E0,-2.332E1)); +#1841=LINE('',#1840,#971); +#1842=CARTESIAN_POINT('',(1.365E1,9.5E-1,-2.332E1)); +#1843=LINE('',#1842,#1167); +#1844=CARTESIAN_POINT('',(1.429E1,9.5E-1,-2.332E1)); +#1845=LINE('',#1844,#1164); +#1846=LINE('',#1342,#1581); +#1847=LINE('',#1348,#1581); +#1848=LINE('',#1346,#1581); +#1849=LINE('',#1344,#1581); +#1850=CARTESIAN_POINT('',(1.683E1,1.59E0,-2.332E1)); +#1851=LINE('',#1850,#1161); +#1852=CARTESIAN_POINT('',(1.619E1,1.59E0,-2.332E1)); +#1853=LINE('',#1852,#971); +#1854=CARTESIAN_POINT('',(1.619E1,9.5E-1,-2.332E1)); +#1855=LINE('',#1854,#1167); +#1856=CARTESIAN_POINT('',(1.683E1,9.5E-1,-2.332E1)); +#1857=LINE('',#1856,#1164); +#1858=LINE('',#1350,#1581); +#1859=LINE('',#1356,#1581); +#1860=LINE('',#1354,#1581); +#1861=LINE('',#1352,#1581); +#1862=CARTESIAN_POINT('',(1.937E1,1.59E0,-2.332E1)); +#1863=LINE('',#1862,#1161); +#1864=CARTESIAN_POINT('',(1.873E1,1.59E0,-2.332E1)); +#1865=LINE('',#1864,#971); +#1866=CARTESIAN_POINT('',(1.873E1,9.5E-1,-2.332E1)); +#1867=LINE('',#1866,#1167); +#1868=CARTESIAN_POINT('',(1.937E1,9.5E-1,-2.332E1)); +#1869=LINE('',#1868,#1164); +#1870=LINE('',#1358,#1581); +#1871=LINE('',#1364,#1581); +#1872=LINE('',#1362,#1581); +#1873=LINE('',#1360,#1581); +#1874=CARTESIAN_POINT('',(2.191E1,1.59E0,-2.332E1)); +#1875=LINE('',#1874,#1161); +#1876=CARTESIAN_POINT('',(2.127E1,1.59E0,-2.332E1)); +#1877=LINE('',#1876,#971); +#1878=CARTESIAN_POINT('',(2.127E1,9.5E-1,-2.332E1)); +#1879=LINE('',#1878,#1167); +#1880=CARTESIAN_POINT('',(2.191E1,9.5E-1,-2.332E1)); +#1881=LINE('',#1880,#1164); +#1882=LINE('',#1366,#1581); +#1883=LINE('',#1372,#1581); +#1884=LINE('',#1370,#1581); +#1885=LINE('',#1368,#1581); +#1886=CARTESIAN_POINT('',(2.445E1,1.59E0,-2.332E1)); +#1887=LINE('',#1886,#1161); +#1888=CARTESIAN_POINT('',(2.381E1,1.59E0,-2.332E1)); +#1889=LINE('',#1888,#971); +#1890=CARTESIAN_POINT('',(2.381E1,9.5E-1,-2.332E1)); +#1891=LINE('',#1890,#1167); +#1892=CARTESIAN_POINT('',(2.445E1,9.5E-1,-2.332E1)); +#1893=LINE('',#1892,#1164); +#1894=LINE('',#1374,#1581); +#1895=LINE('',#1380,#1581); +#1896=LINE('',#1378,#1581); +#1897=LINE('',#1376,#1581); +#1898=CARTESIAN_POINT('',(2.699E1,1.59E0,-2.332E1)); +#1899=LINE('',#1898,#1161); +#1900=CARTESIAN_POINT('',(2.635E1,1.59E0,-2.332E1)); +#1901=LINE('',#1900,#971); +#1902=CARTESIAN_POINT('',(2.635E1,9.5E-1,-2.332E1)); +#1903=LINE('',#1902,#1167); +#1904=CARTESIAN_POINT('',(2.699E1,9.5E-1,-2.332E1)); +#1905=LINE('',#1904,#1164); +#1906=LINE('',#1382,#1581); +#1907=LINE('',#1388,#1581); +#1908=LINE('',#1386,#1581); +#1909=LINE('',#1384,#1581); +#1910=CARTESIAN_POINT('',(2.953E1,1.59E0,-2.332E1)); +#1911=LINE('',#1910,#1161); +#1912=CARTESIAN_POINT('',(2.889E1,1.59E0,-2.332E1)); +#1913=LINE('',#1912,#971); +#1914=CARTESIAN_POINT('',(2.889E1,9.5E-1,-2.332E1)); +#1915=LINE('',#1914,#1167); +#1916=CARTESIAN_POINT('',(2.953E1,9.5E-1,-2.332E1)); +#1917=LINE('',#1916,#1164); +#1918=LINE('',#1390,#1581); +#1919=LINE('',#1396,#1581); +#1920=LINE('',#1394,#1581); +#1921=LINE('',#1392,#1581); +#1922=CARTESIAN_POINT('',(3.207E1,1.59E0,-2.332E1)); +#1923=LINE('',#1922,#1161); +#1924=CARTESIAN_POINT('',(3.143E1,1.59E0,-2.332E1)); +#1925=LINE('',#1924,#971); +#1926=CARTESIAN_POINT('',(3.143E1,9.5E-1,-2.332E1)); +#1927=LINE('',#1926,#1167); +#1928=CARTESIAN_POINT('',(3.207E1,9.5E-1,-2.332E1)); +#1929=LINE('',#1928,#1164); +#1930=LINE('',#1398,#1581); +#1931=LINE('',#1404,#1581); +#1932=LINE('',#1402,#1581); +#1933=LINE('',#1400,#1581); +#1934=CARTESIAN_POINT('',(3.461E1,1.59E0,-2.332E1)); +#1935=LINE('',#1934,#1161); +#1936=CARTESIAN_POINT('',(3.397E1,1.59E0,-2.332E1)); +#1937=LINE('',#1936,#971); +#1938=CARTESIAN_POINT('',(3.397E1,9.5E-1,-2.332E1)); +#1939=LINE('',#1938,#1167); +#1940=CARTESIAN_POINT('',(3.461E1,9.5E-1,-2.332E1)); +#1941=LINE('',#1940,#1164); +#1942=LINE('',#1406,#1581); +#1943=LINE('',#1412,#1581); +#1944=LINE('',#1410,#1581); +#1945=LINE('',#1408,#1581); +#1946=CARTESIAN_POINT('',(3.715E1,1.59E0,-2.332E1)); +#1947=LINE('',#1946,#1161); +#1948=CARTESIAN_POINT('',(3.651E1,1.59E0,-2.332E1)); +#1949=LINE('',#1948,#971); +#1950=CARTESIAN_POINT('',(3.651E1,9.5E-1,-2.332E1)); +#1951=LINE('',#1950,#1167); +#1952=CARTESIAN_POINT('',(3.715E1,9.5E-1,-2.332E1)); +#1953=LINE('',#1952,#1164); +#1954=LINE('',#1414,#1581); +#1955=LINE('',#1420,#1581); +#1956=LINE('',#1418,#1581); +#1957=LINE('',#1416,#1581); +#1958=CARTESIAN_POINT('',(3.969E1,1.59E0,-2.332E1)); +#1959=LINE('',#1958,#1161); +#1960=CARTESIAN_POINT('',(3.905E1,1.59E0,-2.332E1)); +#1961=LINE('',#1960,#971); +#1962=CARTESIAN_POINT('',(3.905E1,9.5E-1,-2.332E1)); +#1963=LINE('',#1962,#1167); +#1964=CARTESIAN_POINT('',(3.969E1,9.5E-1,-2.332E1)); +#1965=LINE('',#1964,#1164); +#1966=VECTOR('',#918,1.2735E1); +#1967=CARTESIAN_POINT('',(-1.873E1,4.13E0,-1.0585E1)); +#1968=LINE('',#1967,#1966); +#1969=LINE('',#1158,#1966); +#1970=CARTESIAN_POINT('',(-1.937E1,3.49E0,-1.055E1)); +#1971=LINE('',#1970,#1581); +#1972=LINE('',#1424,#1581); +#1973=CARTESIAN_POINT('',(-1.873E1,4.13E0,-2.332E1)); +#1974=LINE('',#1973,#1161); +#1975=CARTESIAN_POINT('',(-1.937E1,4.13E0,-2.332E1)); +#1976=LINE('',#1975,#971); +#1977=CARTESIAN_POINT('',(-1.937E1,3.49E0,-2.332E1)); +#1978=LINE('',#1977,#1167); +#1979=CARTESIAN_POINT('',(-1.873E1,3.49E0,-2.332E1)); +#1980=LINE('',#1979,#1164); +#1981=CARTESIAN_POINT('',(-2.127E1,4.13E0,-1.0585E1)); +#1982=LINE('',#1981,#1966); +#1983=LINE('',#1152,#1966); +#1984=CARTESIAN_POINT('',(-2.191E1,3.49E0,-1.055E1)); +#1985=LINE('',#1984,#1581); +#1986=LINE('',#1430,#1581); +#1987=CARTESIAN_POINT('',(-2.127E1,4.13E0,-2.332E1)); +#1988=LINE('',#1987,#1161); +#1989=CARTESIAN_POINT('',(-2.191E1,4.13E0,-2.332E1)); +#1990=LINE('',#1989,#971); +#1991=CARTESIAN_POINT('',(-2.191E1,3.49E0,-2.332E1)); +#1992=LINE('',#1991,#1167); +#1993=CARTESIAN_POINT('',(-2.127E1,3.49E0,-2.332E1)); +#1994=LINE('',#1993,#1164); +#1995=CARTESIAN_POINT('',(-2.381E1,4.13E0,-1.0585E1)); +#1996=LINE('',#1995,#1966); +#1997=LINE('',#1146,#1966); +#1998=CARTESIAN_POINT('',(-2.445E1,3.49E0,-1.055E1)); +#1999=LINE('',#1998,#1581); +#2000=LINE('',#1435,#1581); +#2001=CARTESIAN_POINT('',(-2.381E1,4.13E0,-2.332E1)); +#2002=LINE('',#2001,#1161); +#2003=CARTESIAN_POINT('',(-2.445E1,4.13E0,-2.332E1)); +#2004=LINE('',#2003,#971); +#2005=CARTESIAN_POINT('',(-2.445E1,3.49E0,-2.332E1)); +#2006=LINE('',#2005,#1167); +#2007=CARTESIAN_POINT('',(-2.381E1,3.49E0,-2.332E1)); +#2008=LINE('',#2007,#1164); +#2009=CARTESIAN_POINT('',(-2.635E1,4.13E0,-1.0585E1)); +#2010=LINE('',#2009,#1966); +#2011=LINE('',#1140,#1966); +#2012=CARTESIAN_POINT('',(-2.699E1,3.49E0,-1.055E1)); +#2013=LINE('',#2012,#1581); +#2014=LINE('',#1440,#1581); +#2015=CARTESIAN_POINT('',(-2.635E1,4.13E0,-2.332E1)); +#2016=LINE('',#2015,#1161); +#2017=CARTESIAN_POINT('',(-2.699E1,4.13E0,-2.332E1)); +#2018=LINE('',#2017,#971); +#2019=CARTESIAN_POINT('',(-2.699E1,3.49E0,-2.332E1)); +#2020=LINE('',#2019,#1167); +#2021=CARTESIAN_POINT('',(-2.635E1,3.49E0,-2.332E1)); +#2022=LINE('',#2021,#1164); +#2023=CARTESIAN_POINT('',(-2.889E1,4.13E0,-1.0585E1)); +#2024=LINE('',#2023,#1966); +#2025=LINE('',#1134,#1966); +#2026=CARTESIAN_POINT('',(-2.953E1,3.49E0,-1.055E1)); +#2027=LINE('',#2026,#1581); +#2028=LINE('',#1445,#1581); +#2029=CARTESIAN_POINT('',(-2.889E1,4.13E0,-2.332E1)); +#2030=LINE('',#2029,#1161); +#2031=CARTESIAN_POINT('',(-2.953E1,4.13E0,-2.332E1)); +#2032=LINE('',#2031,#971); +#2033=CARTESIAN_POINT('',(-2.953E1,3.49E0,-2.332E1)); +#2034=LINE('',#2033,#1167); +#2035=CARTESIAN_POINT('',(-2.889E1,3.49E0,-2.332E1)); +#2036=LINE('',#2035,#1164); +#2037=CARTESIAN_POINT('',(-3.143E1,4.13E0,-1.0585E1)); +#2038=LINE('',#2037,#1966); +#2039=LINE('',#1128,#1966); +#2040=CARTESIAN_POINT('',(-3.207E1,3.49E0,-1.055E1)); +#2041=LINE('',#2040,#1581); +#2042=LINE('',#1450,#1581); +#2043=CARTESIAN_POINT('',(-3.143E1,4.13E0,-2.332E1)); +#2044=LINE('',#2043,#1161); +#2045=CARTESIAN_POINT('',(-3.207E1,4.13E0,-2.332E1)); +#2046=LINE('',#2045,#971); +#2047=CARTESIAN_POINT('',(-3.207E1,3.49E0,-2.332E1)); +#2048=LINE('',#2047,#1167); +#2049=CARTESIAN_POINT('',(-3.143E1,3.49E0,-2.332E1)); +#2050=LINE('',#2049,#1164); +#2051=CARTESIAN_POINT('',(-3.397E1,4.13E0,-1.0585E1)); +#2052=LINE('',#2051,#1966); +#2053=LINE('',#1122,#1966); +#2054=CARTESIAN_POINT('',(-3.461E1,3.49E0,-1.055E1)); +#2055=LINE('',#2054,#1581); +#2056=LINE('',#1455,#1581); +#2057=CARTESIAN_POINT('',(-3.397E1,4.13E0,-2.332E1)); +#2058=LINE('',#2057,#1161); +#2059=CARTESIAN_POINT('',(-3.461E1,4.13E0,-2.332E1)); +#2060=LINE('',#2059,#971); +#2061=CARTESIAN_POINT('',(-3.461E1,3.49E0,-2.332E1)); +#2062=LINE('',#2061,#1167); +#2063=CARTESIAN_POINT('',(-3.397E1,3.49E0,-2.332E1)); +#2064=LINE('',#2063,#1164); +#2065=CARTESIAN_POINT('',(-3.651E1,4.13E0,-1.0585E1)); +#2066=LINE('',#2065,#1966); +#2067=LINE('',#1116,#1966); +#2068=CARTESIAN_POINT('',(-3.715E1,3.49E0,-1.055E1)); +#2069=LINE('',#2068,#1581); +#2070=LINE('',#1460,#1581); +#2071=CARTESIAN_POINT('',(-3.651E1,4.13E0,-2.332E1)); +#2072=LINE('',#2071,#1161); +#2073=CARTESIAN_POINT('',(-3.715E1,4.13E0,-2.332E1)); +#2074=LINE('',#2073,#971); +#2075=CARTESIAN_POINT('',(-3.715E1,3.49E0,-2.332E1)); +#2076=LINE('',#2075,#1167); +#2077=CARTESIAN_POINT('',(-3.651E1,3.49E0,-2.332E1)); +#2078=LINE('',#2077,#1164); +#2079=CARTESIAN_POINT('',(-3.905E1,4.13E0,-1.0585E1)); +#2080=LINE('',#2079,#1966); +#2081=LINE('',#1110,#1966); +#2082=CARTESIAN_POINT('',(-3.969E1,3.49E0,-1.055E1)); +#2083=LINE('',#2082,#1581); +#2084=LINE('',#1465,#1581); +#2085=CARTESIAN_POINT('',(-3.905E1,4.13E0,-2.332E1)); +#2086=LINE('',#2085,#1161); +#2087=CARTESIAN_POINT('',(-3.969E1,4.13E0,-2.332E1)); +#2088=LINE('',#2087,#971); +#2089=CARTESIAN_POINT('',(-3.969E1,3.49E0,-2.332E1)); +#2090=LINE('',#2089,#1167); +#2091=CARTESIAN_POINT('',(-3.905E1,3.49E0,-2.332E1)); +#2092=LINE('',#2091,#1164); +#2093=CARTESIAN_POINT('',(4.08E1,8.55E-1,-1.055E1)); +#2094=LINE('',#2093,#77); +#2095=LINE('',#82,#919); +#2096=LINE('',#938,#929); +#2097=LINE('',#1470,#925); +#2098=LINE('',#2093,#922); +#2099=LINE('',#86,#919); +#2100=DIRECTION('',(0.E0,0.E0,1.E0)); +#2101=VECTOR('',#2100,5.E-1); +#2102=LINE('',#950,#2101); +#2103=VECTOR('',#72,3.74E0); +#2104=CARTESIAN_POINT('',(3.064E1,-3.55E-1,-1.055E1)); +#2105=LINE('',#2104,#2103); +#2106=CARTESIAN_POINT('',(3.064E1,-4.095E0,-1.055E1)); +#2107=LINE('',#2106,#922); +#2108=LINE('',#88,#919); +#2109=LINE('',#948,#2101); +#2110=LINE('',#93,#919); +#2111=CARTESIAN_POINT('',(-2.047E1,-4.095E0,-1.055E1)); +#2112=LINE('',#2111,#922); +#2113=CARTESIAN_POINT('',(-2.047E1,-3.55E-1,-1.055E1)); +#2114=LINE('',#2113,#2103); +#2115=LINE('',#96,#919); +#2116=LINE('',#91,#919); +#2117=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811866E-1)); +#2118=VECTOR('',#2117,4.949747468306E-2); +#2119=CARTESIAN_POINT('',(2.953E1,-4.095E0,-1.055E1)); +#2120=LINE('',#2119,#2118); +#2121=CARTESIAN_POINT('',(2.953E1,-4.13E0,-1.0585E1)); +#2122=LINE('',#2121,#1164); +#2123=CARTESIAN_POINT('',(2.889E1,-4.095E0,-1.055E1)); +#2124=LINE('',#2123,#2118); +#2125=LINE('',#2123,#1427); +#2126=CARTESIAN_POINT('',(2.699E1,-4.095E0,-1.055E1)); +#2127=LINE('',#2126,#2118); +#2128=CARTESIAN_POINT('',(2.699E1,-4.13E0,-1.0585E1)); +#2129=LINE('',#2128,#1164); +#2130=CARTESIAN_POINT('',(2.635E1,-4.095E0,-1.055E1)); +#2131=LINE('',#2130,#2118); +#2132=LINE('',#2130,#1427); +#2133=CARTESIAN_POINT('',(2.445E1,-4.095E0,-1.055E1)); +#2134=LINE('',#2133,#2118); +#2135=CARTESIAN_POINT('',(2.445E1,-4.13E0,-1.0585E1)); +#2136=LINE('',#2135,#1164); +#2137=CARTESIAN_POINT('',(2.381E1,-4.095E0,-1.055E1)); +#2138=LINE('',#2137,#2118); +#2139=LINE('',#2137,#1427); +#2140=CARTESIAN_POINT('',(2.191E1,-4.095E0,-1.055E1)); +#2141=LINE('',#2140,#2118); +#2142=CARTESIAN_POINT('',(2.191E1,-4.13E0,-1.0585E1)); +#2143=LINE('',#2142,#1164); +#2144=CARTESIAN_POINT('',(2.127E1,-4.095E0,-1.055E1)); +#2145=LINE('',#2144,#2118); +#2146=LINE('',#2144,#1427); +#2147=CARTESIAN_POINT('',(1.937E1,-4.095E0,-1.055E1)); +#2148=LINE('',#2147,#2118); +#2149=CARTESIAN_POINT('',(1.937E1,-4.13E0,-1.0585E1)); +#2150=LINE('',#2149,#1164); +#2151=CARTESIAN_POINT('',(1.873E1,-4.095E0,-1.055E1)); +#2152=LINE('',#2151,#2118); +#2153=LINE('',#2151,#1427); +#2154=CARTESIAN_POINT('',(1.683E1,-4.095E0,-1.055E1)); +#2155=LINE('',#2154,#2118); +#2156=CARTESIAN_POINT('',(1.683E1,-4.13E0,-1.0585E1)); +#2157=LINE('',#2156,#1164); +#2158=CARTESIAN_POINT('',(1.619E1,-4.095E0,-1.055E1)); +#2159=LINE('',#2158,#2118); +#2160=LINE('',#2158,#1427); +#2161=CARTESIAN_POINT('',(1.429E1,-4.095E0,-1.055E1)); +#2162=LINE('',#2161,#2118); +#2163=CARTESIAN_POINT('',(1.429E1,-4.13E0,-1.0585E1)); +#2164=LINE('',#2163,#1164); +#2165=CARTESIAN_POINT('',(1.365E1,-4.095E0,-1.055E1)); +#2166=LINE('',#2165,#2118); +#2167=LINE('',#2165,#1427); +#2168=CARTESIAN_POINT('',(1.175E1,-4.095E0,-1.055E1)); +#2169=LINE('',#2168,#2118); +#2170=CARTESIAN_POINT('',(1.175E1,-4.13E0,-1.0585E1)); +#2171=LINE('',#2170,#1164); +#2172=CARTESIAN_POINT('',(1.111E1,-4.095E0,-1.055E1)); +#2173=LINE('',#2172,#2118); +#2174=LINE('',#2172,#1427); +#2175=CARTESIAN_POINT('',(9.21E0,-4.095E0,-1.055E1)); +#2176=LINE('',#2175,#2118); +#2177=CARTESIAN_POINT('',(9.21E0,-4.13E0,-1.0585E1)); +#2178=LINE('',#2177,#1164); +#2179=CARTESIAN_POINT('',(8.57E0,-4.095E0,-1.055E1)); +#2180=LINE('',#2179,#2118); +#2181=LINE('',#2179,#1427); +#2182=CARTESIAN_POINT('',(6.67E0,-4.095E0,-1.055E1)); +#2183=LINE('',#2182,#2118); +#2184=CARTESIAN_POINT('',(6.67E0,-4.13E0,-1.0585E1)); +#2185=LINE('',#2184,#1164); +#2186=CARTESIAN_POINT('',(6.03E0,-4.095E0,-1.055E1)); +#2187=LINE('',#2186,#2118); +#2188=LINE('',#2186,#1427); +#2189=CARTESIAN_POINT('',(4.13E0,-4.095E0,-1.055E1)); +#2190=LINE('',#2189,#2118); +#2191=CARTESIAN_POINT('',(4.13E0,-4.13E0,-1.0585E1)); +#2192=LINE('',#2191,#1164); +#2193=CARTESIAN_POINT('',(3.49E0,-4.095E0,-1.055E1)); +#2194=LINE('',#2193,#2118); +#2195=LINE('',#2193,#1427); +#2196=CARTESIAN_POINT('',(1.59E0,-4.095E0,-1.055E1)); +#2197=LINE('',#2196,#2118); +#2198=CARTESIAN_POINT('',(1.59E0,-4.13E0,-1.0585E1)); +#2199=LINE('',#2198,#1164); +#2200=CARTESIAN_POINT('',(9.5E-1,-4.095E0,-1.055E1)); +#2201=LINE('',#2200,#2118); +#2202=LINE('',#2200,#1427); +#2203=CARTESIAN_POINT('',(-9.5E-1,-4.095E0,-1.055E1)); +#2204=LINE('',#2203,#2118); +#2205=CARTESIAN_POINT('',(-9.5E-1,-4.13E0,-1.0585E1)); +#2206=LINE('',#2205,#1164); +#2207=CARTESIAN_POINT('',(-1.59E0,-4.095E0,-1.055E1)); +#2208=LINE('',#2207,#2118); +#2209=LINE('',#2207,#1427); +#2210=CARTESIAN_POINT('',(-3.49E0,-4.095E0,-1.055E1)); +#2211=LINE('',#2210,#2118); +#2212=CARTESIAN_POINT('',(-3.49E0,-4.13E0,-1.0585E1)); +#2213=LINE('',#2212,#1164); +#2214=CARTESIAN_POINT('',(-4.13E0,-4.095E0,-1.055E1)); +#2215=LINE('',#2214,#2118); +#2216=LINE('',#2214,#1427); +#2217=CARTESIAN_POINT('',(-6.03E0,-4.095E0,-1.055E1)); +#2218=LINE('',#2217,#2118); +#2219=CARTESIAN_POINT('',(-6.03E0,-4.13E0,-1.0585E1)); +#2220=LINE('',#2219,#1164); +#2221=CARTESIAN_POINT('',(-6.67E0,-4.095E0,-1.055E1)); +#2222=LINE('',#2221,#2118); +#2223=LINE('',#2221,#1427); +#2224=CARTESIAN_POINT('',(-8.57E0,-4.095E0,-1.055E1)); +#2225=LINE('',#2224,#2118); +#2226=CARTESIAN_POINT('',(-8.57E0,-4.13E0,-1.0585E1)); +#2227=LINE('',#2226,#1164); +#2228=CARTESIAN_POINT('',(-9.21E0,-4.095E0,-1.055E1)); +#2229=LINE('',#2228,#2118); +#2230=LINE('',#2228,#1427); +#2231=CARTESIAN_POINT('',(-1.111E1,-4.095E0,-1.055E1)); +#2232=LINE('',#2231,#2118); +#2233=CARTESIAN_POINT('',(-1.111E1,-4.13E0,-1.0585E1)); +#2234=LINE('',#2233,#1164); +#2235=CARTESIAN_POINT('',(-1.175E1,-4.095E0,-1.055E1)); +#2236=LINE('',#2235,#2118); +#2237=LINE('',#2235,#1427); +#2238=CARTESIAN_POINT('',(-1.365E1,-4.095E0,-1.055E1)); +#2239=LINE('',#2238,#2118); +#2240=CARTESIAN_POINT('',(-1.365E1,-4.13E0,-1.0585E1)); +#2241=LINE('',#2240,#1164); +#2242=CARTESIAN_POINT('',(-1.429E1,-4.095E0,-1.055E1)); +#2243=LINE('',#2242,#2118); +#2244=LINE('',#2242,#1427); +#2245=CARTESIAN_POINT('',(-1.619E1,-4.095E0,-1.055E1)); +#2246=LINE('',#2245,#2118); +#2247=CARTESIAN_POINT('',(-1.619E1,-4.13E0,-1.0585E1)); +#2248=LINE('',#2247,#1164); +#2249=CARTESIAN_POINT('',(-1.683E1,-4.095E0,-1.055E1)); +#2250=LINE('',#2249,#2118); +#2251=LINE('',#2249,#1427); +#2252=CARTESIAN_POINT('',(-1.873E1,-4.095E0,-1.055E1)); +#2253=LINE('',#2252,#2118); +#2254=CARTESIAN_POINT('',(-1.873E1,-4.13E0,-1.0585E1)); +#2255=LINE('',#2254,#1164); +#2256=CARTESIAN_POINT('',(-1.937E1,-4.095E0,-1.055E1)); +#2257=LINE('',#2256,#2118); +#2258=VECTOR('',#76,1.1E0); +#2259=LINE('',#2256,#2258); +#2260=LINE('',#2106,#1468); +#2261=CARTESIAN_POINT('',(2.953E1,-3.49E0,-1.055E1)); +#2262=LINE('',#2261,#1581); +#2263=CARTESIAN_POINT('',(-1.873E1,-9.5E-1,-1.055E1)); +#2264=LINE('',#2263,#1161); +#2265=CARTESIAN_POINT('',(-1.873E1,-1.59E0,-1.055E1)); +#2266=LINE('',#2265,#1164); +#2267=CARTESIAN_POINT('',(-1.937E1,-1.59E0,-1.055E1)); +#2268=LINE('',#2267,#1167); +#2269=CARTESIAN_POINT('',(-1.937E1,-9.5E-1,-1.055E1)); +#2270=LINE('',#2269,#971); +#2271=CARTESIAN_POINT('',(-1.619E1,-9.5E-1,-1.055E1)); +#2272=LINE('',#2271,#1161); +#2273=CARTESIAN_POINT('',(-1.619E1,-1.59E0,-1.055E1)); +#2274=LINE('',#2273,#1164); +#2275=CARTESIAN_POINT('',(-1.683E1,-1.59E0,-1.055E1)); +#2276=LINE('',#2275,#1167); +#2277=CARTESIAN_POINT('',(-1.683E1,-9.5E-1,-1.055E1)); +#2278=LINE('',#2277,#971); +#2279=CARTESIAN_POINT('',(-1.365E1,-9.5E-1,-1.055E1)); +#2280=LINE('',#2279,#1161); +#2281=CARTESIAN_POINT('',(-1.365E1,-1.59E0,-1.055E1)); +#2282=LINE('',#2281,#1164); +#2283=CARTESIAN_POINT('',(-1.429E1,-1.59E0,-1.055E1)); +#2284=LINE('',#2283,#1167); +#2285=CARTESIAN_POINT('',(-1.429E1,-9.5E-1,-1.055E1)); +#2286=LINE('',#2285,#971); +#2287=CARTESIAN_POINT('',(-1.111E1,-9.5E-1,-1.055E1)); +#2288=LINE('',#2287,#1161); +#2289=CARTESIAN_POINT('',(-1.111E1,-1.59E0,-1.055E1)); +#2290=LINE('',#2289,#1164); +#2291=DIRECTION('',(1.110223024625E-14,1.E0,0.E0)); +#2292=VECTOR('',#2291,6.4E-1); +#2293=CARTESIAN_POINT('',(-1.175E1,-1.59E0,-1.055E1)); +#2294=LINE('',#2293,#2292); +#2295=CARTESIAN_POINT('',(-1.175E1,-9.5E-1,-1.055E1)); +#2296=LINE('',#2295,#971); +#2297=CARTESIAN_POINT('',(-8.57E0,-9.5E-1,-1.055E1)); +#2298=LINE('',#2297,#1161); +#2299=CARTESIAN_POINT('',(-8.57E0,-1.59E0,-1.055E1)); +#2300=LINE('',#2299,#1164); +#2301=CARTESIAN_POINT('',(-9.21E0,-1.59E0,-1.055E1)); +#2302=LINE('',#2301,#1167); +#2303=CARTESIAN_POINT('',(-9.21E0,-9.5E-1,-1.055E1)); +#2304=LINE('',#2303,#971); +#2305=CARTESIAN_POINT('',(-6.03E0,-9.5E-1,-1.055E1)); +#2306=LINE('',#2305,#1161); +#2307=CARTESIAN_POINT('',(-6.03E0,-1.59E0,-1.055E1)); +#2308=LINE('',#2307,#1164); +#2309=CARTESIAN_POINT('',(-6.67E0,-1.59E0,-1.055E1)); +#2310=LINE('',#2309,#1167); +#2311=CARTESIAN_POINT('',(-6.67E0,-9.5E-1,-1.055E1)); +#2312=LINE('',#2311,#971); +#2313=CARTESIAN_POINT('',(-3.49E0,-9.5E-1,-1.055E1)); +#2314=LINE('',#2313,#1161); +#2315=CARTESIAN_POINT('',(-3.49E0,-1.59E0,-1.055E1)); +#2316=LINE('',#2315,#1164); +#2317=CARTESIAN_POINT('',(-4.13E0,-1.59E0,-1.055E1)); +#2318=LINE('',#2317,#1167); +#2319=CARTESIAN_POINT('',(-4.13E0,-9.5E-1,-1.055E1)); +#2320=LINE('',#2319,#971); +#2321=CARTESIAN_POINT('',(-9.5E-1,-9.5E-1,-1.055E1)); +#2322=LINE('',#2321,#1161); +#2323=CARTESIAN_POINT('',(-9.5E-1,-1.59E0,-1.055E1)); +#2324=LINE('',#2323,#1164); +#2325=CARTESIAN_POINT('',(-1.59E0,-1.59E0,-1.055E1)); +#2326=LINE('',#2325,#1167); +#2327=CARTESIAN_POINT('',(-1.59E0,-9.5E-1,-1.055E1)); +#2328=LINE('',#2327,#971); +#2329=CARTESIAN_POINT('',(1.59E0,-9.5E-1,-1.055E1)); +#2330=LINE('',#2329,#1161); +#2331=CARTESIAN_POINT('',(1.59E0,-1.59E0,-1.055E1)); +#2332=LINE('',#2331,#1164); +#2333=CARTESIAN_POINT('',(9.5E-1,-1.59E0,-1.055E1)); +#2334=LINE('',#2333,#1167); +#2335=CARTESIAN_POINT('',(9.5E-1,-9.5E-1,-1.055E1)); +#2336=LINE('',#2335,#971); +#2337=CARTESIAN_POINT('',(4.13E0,-9.5E-1,-1.055E1)); +#2338=LINE('',#2337,#1161); +#2339=CARTESIAN_POINT('',(4.13E0,-1.59E0,-1.055E1)); +#2340=LINE('',#2339,#1164); +#2341=CARTESIAN_POINT('',(3.49E0,-1.59E0,-1.055E1)); +#2342=LINE('',#2341,#1167); +#2343=CARTESIAN_POINT('',(3.49E0,-9.5E-1,-1.055E1)); +#2344=LINE('',#2343,#971); +#2345=CARTESIAN_POINT('',(6.67E0,-9.5E-1,-1.055E1)); +#2346=LINE('',#2345,#1161); +#2347=CARTESIAN_POINT('',(6.67E0,-1.59E0,-1.055E1)); +#2348=LINE('',#2347,#1164); +#2349=CARTESIAN_POINT('',(6.03E0,-1.59E0,-1.055E1)); +#2350=LINE('',#2349,#1167); +#2351=CARTESIAN_POINT('',(6.03E0,-9.5E-1,-1.055E1)); +#2352=LINE('',#2351,#971); +#2353=CARTESIAN_POINT('',(9.21E0,-9.5E-1,-1.055E1)); +#2354=LINE('',#2353,#1161); +#2355=CARTESIAN_POINT('',(9.21E0,-1.59E0,-1.055E1)); +#2356=LINE('',#2355,#1164); +#2357=CARTESIAN_POINT('',(8.57E0,-1.59E0,-1.055E1)); +#2358=LINE('',#2357,#1167); +#2359=CARTESIAN_POINT('',(8.57E0,-9.5E-1,-1.055E1)); +#2360=LINE('',#2359,#971); +#2361=CARTESIAN_POINT('',(1.175E1,-9.5E-1,-1.055E1)); +#2362=LINE('',#2361,#1161); +#2363=CARTESIAN_POINT('',(1.175E1,-1.59E0,-1.055E1)); +#2364=LINE('',#2363,#1164); +#2365=CARTESIAN_POINT('',(1.111E1,-1.59E0,-1.055E1)); +#2366=LINE('',#2365,#1167); +#2367=CARTESIAN_POINT('',(1.111E1,-9.5E-1,-1.055E1)); +#2368=LINE('',#2367,#971); +#2369=CARTESIAN_POINT('',(1.429E1,-9.5E-1,-1.055E1)); +#2370=LINE('',#2369,#1161); +#2371=CARTESIAN_POINT('',(1.429E1,-1.59E0,-1.055E1)); +#2372=LINE('',#2371,#1164); +#2373=CARTESIAN_POINT('',(1.365E1,-1.59E0,-1.055E1)); +#2374=LINE('',#2373,#1167); +#2375=CARTESIAN_POINT('',(1.365E1,-9.5E-1,-1.055E1)); +#2376=LINE('',#2375,#971); +#2377=CARTESIAN_POINT('',(1.683E1,-9.5E-1,-1.055E1)); +#2378=LINE('',#2377,#1161); +#2379=CARTESIAN_POINT('',(1.683E1,-1.59E0,-1.055E1)); +#2380=LINE('',#2379,#1164); +#2381=CARTESIAN_POINT('',(1.619E1,-1.59E0,-1.055E1)); +#2382=LINE('',#2381,#1167); +#2383=CARTESIAN_POINT('',(1.619E1,-9.5E-1,-1.055E1)); +#2384=LINE('',#2383,#971); +#2385=CARTESIAN_POINT('',(1.937E1,-9.5E-1,-1.055E1)); +#2386=LINE('',#2385,#1161); +#2387=CARTESIAN_POINT('',(1.937E1,-1.59E0,-1.055E1)); +#2388=LINE('',#2387,#1164); +#2389=CARTESIAN_POINT('',(1.873E1,-1.59E0,-1.055E1)); +#2390=LINE('',#2389,#1167); +#2391=CARTESIAN_POINT('',(1.873E1,-9.5E-1,-1.055E1)); +#2392=LINE('',#2391,#971); +#2393=CARTESIAN_POINT('',(2.191E1,-9.5E-1,-1.055E1)); +#2394=LINE('',#2393,#1161); +#2395=CARTESIAN_POINT('',(2.191E1,-1.59E0,-1.055E1)); +#2396=LINE('',#2395,#1164); +#2397=CARTESIAN_POINT('',(2.127E1,-1.59E0,-1.055E1)); +#2398=LINE('',#2397,#1167); +#2399=CARTESIAN_POINT('',(2.127E1,-9.5E-1,-1.055E1)); +#2400=LINE('',#2399,#971); +#2401=CARTESIAN_POINT('',(2.445E1,-9.5E-1,-1.055E1)); +#2402=LINE('',#2401,#1161); +#2403=CARTESIAN_POINT('',(2.445E1,-1.59E0,-1.055E1)); +#2404=LINE('',#2403,#1164); +#2405=CARTESIAN_POINT('',(2.381E1,-1.59E0,-1.055E1)); +#2406=LINE('',#2405,#1167); +#2407=CARTESIAN_POINT('',(2.381E1,-9.5E-1,-1.055E1)); +#2408=LINE('',#2407,#971); +#2409=CARTESIAN_POINT('',(2.699E1,-9.5E-1,-1.055E1)); +#2410=LINE('',#2409,#1161); +#2411=CARTESIAN_POINT('',(2.699E1,-1.59E0,-1.055E1)); +#2412=LINE('',#2411,#1164); +#2413=CARTESIAN_POINT('',(2.635E1,-1.59E0,-1.055E1)); +#2414=LINE('',#2413,#1167); +#2415=CARTESIAN_POINT('',(2.635E1,-9.5E-1,-1.055E1)); +#2416=LINE('',#2415,#971); +#2417=VECTOR('',#80,6.05E-1); +#2418=LINE('',#2119,#2417); +#2419=VECTOR('',#76,5.111E1); +#2420=LINE('',#2104,#2419); +#2421=LINE('',#2256,#2417); +#2422=CARTESIAN_POINT('',(-1.937E1,-3.49E0,-1.055E1)); +#2423=LINE('',#2422,#971); +#2424=LINE('',#2252,#2417); +#2425=LINE('',#2249,#2417); +#2426=CARTESIAN_POINT('',(-1.683E1,-3.49E0,-1.055E1)); +#2427=LINE('',#2426,#971); +#2428=LINE('',#2245,#2417); +#2429=LINE('',#2242,#2417); +#2430=CARTESIAN_POINT('',(-1.429E1,-3.49E0,-1.055E1)); +#2431=LINE('',#2430,#971); +#2432=LINE('',#2238,#2417); +#2433=DIRECTION('',(1.174450802909E-14,1.E0,0.E0)); +#2434=VECTOR('',#2433,6.05E-1); +#2435=LINE('',#2235,#2434); +#2436=CARTESIAN_POINT('',(-1.175E1,-3.49E0,-1.055E1)); +#2437=LINE('',#2436,#971); +#2438=LINE('',#2231,#2417); +#2439=LINE('',#2228,#2417); +#2440=CARTESIAN_POINT('',(-9.21E0,-3.49E0,-1.055E1)); +#2441=LINE('',#2440,#971); +#2442=LINE('',#2224,#2417); +#2443=LINE('',#2221,#2417); +#2444=CARTESIAN_POINT('',(-6.67E0,-3.49E0,-1.055E1)); +#2445=LINE('',#2444,#971); +#2446=LINE('',#2217,#2417); +#2447=LINE('',#2214,#2417); +#2448=CARTESIAN_POINT('',(-4.13E0,-3.49E0,-1.055E1)); +#2449=LINE('',#2448,#971); +#2450=LINE('',#2210,#2417); +#2451=LINE('',#2207,#2417); +#2452=CARTESIAN_POINT('',(-1.59E0,-3.49E0,-1.055E1)); +#2453=LINE('',#2452,#971); +#2454=LINE('',#2203,#2417); +#2455=LINE('',#2200,#2417); +#2456=CARTESIAN_POINT('',(9.5E-1,-3.49E0,-1.055E1)); +#2457=LINE('',#2456,#971); +#2458=LINE('',#2196,#2417); +#2459=LINE('',#2193,#2417); +#2460=CARTESIAN_POINT('',(3.49E0,-3.49E0,-1.055E1)); +#2461=LINE('',#2460,#971); +#2462=LINE('',#2189,#2417); +#2463=LINE('',#2186,#2417); +#2464=CARTESIAN_POINT('',(6.03E0,-3.49E0,-1.055E1)); +#2465=LINE('',#2464,#971); +#2466=LINE('',#2182,#2417); +#2467=LINE('',#2179,#2417); +#2468=CARTESIAN_POINT('',(8.57E0,-3.49E0,-1.055E1)); +#2469=LINE('',#2468,#971); +#2470=LINE('',#2175,#2417); +#2471=LINE('',#2172,#2417); +#2472=CARTESIAN_POINT('',(1.111E1,-3.49E0,-1.055E1)); +#2473=LINE('',#2472,#971); +#2474=LINE('',#2168,#2417); +#2475=LINE('',#2165,#2417); +#2476=CARTESIAN_POINT('',(1.365E1,-3.49E0,-1.055E1)); +#2477=LINE('',#2476,#971); +#2478=LINE('',#2161,#2417); +#2479=LINE('',#2158,#2417); +#2480=CARTESIAN_POINT('',(1.619E1,-3.49E0,-1.055E1)); +#2481=LINE('',#2480,#971); +#2482=LINE('',#2154,#2417); +#2483=LINE('',#2151,#2417); +#2484=CARTESIAN_POINT('',(1.873E1,-3.49E0,-1.055E1)); +#2485=LINE('',#2484,#971); +#2486=LINE('',#2147,#2417); +#2487=LINE('',#2144,#2417); +#2488=CARTESIAN_POINT('',(2.127E1,-3.49E0,-1.055E1)); +#2489=LINE('',#2488,#971); +#2490=LINE('',#2140,#2417); +#2491=LINE('',#2137,#2417); +#2492=CARTESIAN_POINT('',(2.381E1,-3.49E0,-1.055E1)); +#2493=LINE('',#2492,#971); +#2494=LINE('',#2133,#2417); +#2495=LINE('',#2130,#2417); +#2496=CARTESIAN_POINT('',(2.635E1,-3.49E0,-1.055E1)); +#2497=LINE('',#2496,#971); +#2498=LINE('',#2126,#2417); +#2499=LINE('',#2123,#2417); +#2500=CARTESIAN_POINT('',(2.889E1,-3.49E0,-1.055E1)); +#2501=LINE('',#2500,#971); +#2502=LINE('',#2263,#1581); +#2503=LINE('',#2269,#1581); +#2504=LINE('',#2267,#1581); +#2505=LINE('',#2265,#1581); +#2506=CARTESIAN_POINT('',(-1.873E1,-9.5E-1,-2.332E1)); +#2507=LINE('',#2506,#1161); +#2508=CARTESIAN_POINT('',(-1.937E1,-9.5E-1,-2.332E1)); +#2509=LINE('',#2508,#971); +#2510=CARTESIAN_POINT('',(-1.937E1,-1.59E0,-2.332E1)); +#2511=LINE('',#2510,#1167); +#2512=CARTESIAN_POINT('',(-1.873E1,-1.59E0,-2.332E1)); +#2513=LINE('',#2512,#1164); +#2514=LINE('',#2271,#1581); +#2515=LINE('',#2277,#1581); +#2516=LINE('',#2275,#1581); +#2517=LINE('',#2273,#1581); +#2518=CARTESIAN_POINT('',(-1.619E1,-9.5E-1,-2.332E1)); +#2519=LINE('',#2518,#1161); +#2520=CARTESIAN_POINT('',(-1.683E1,-9.5E-1,-2.332E1)); +#2521=LINE('',#2520,#971); +#2522=CARTESIAN_POINT('',(-1.683E1,-1.59E0,-2.332E1)); +#2523=LINE('',#2522,#1167); +#2524=CARTESIAN_POINT('',(-1.619E1,-1.59E0,-2.332E1)); +#2525=LINE('',#2524,#1164); +#2526=LINE('',#2279,#1581); +#2527=LINE('',#2285,#1581); +#2528=LINE('',#2283,#1581); +#2529=LINE('',#2281,#1581); +#2530=CARTESIAN_POINT('',(-1.365E1,-9.5E-1,-2.332E1)); +#2531=LINE('',#2530,#1161); +#2532=CARTESIAN_POINT('',(-1.429E1,-9.5E-1,-2.332E1)); +#2533=LINE('',#2532,#971); +#2534=CARTESIAN_POINT('',(-1.429E1,-1.59E0,-2.332E1)); +#2535=LINE('',#2534,#1167); +#2536=CARTESIAN_POINT('',(-1.365E1,-1.59E0,-2.332E1)); +#2537=LINE('',#2536,#1164); +#2538=LINE('',#2287,#1581); +#2539=LINE('',#2295,#1581); +#2540=LINE('',#2293,#1581); +#2541=LINE('',#2289,#1581); +#2542=CARTESIAN_POINT('',(-1.111E1,-9.5E-1,-2.332E1)); +#2543=LINE('',#2542,#1161); +#2544=CARTESIAN_POINT('',(-1.175E1,-9.5E-1,-2.332E1)); +#2545=LINE('',#2544,#971); +#2546=CARTESIAN_POINT('',(-1.175E1,-1.59E0,-2.332E1)); +#2547=LINE('',#2546,#2292); +#2548=CARTESIAN_POINT('',(-1.111E1,-1.59E0,-2.332E1)); +#2549=LINE('',#2548,#1164); +#2550=LINE('',#2297,#1581); +#2551=LINE('',#2303,#1581); +#2552=LINE('',#2301,#1581); +#2553=LINE('',#2299,#1581); +#2554=CARTESIAN_POINT('',(-8.57E0,-9.5E-1,-2.332E1)); +#2555=LINE('',#2554,#1161); +#2556=CARTESIAN_POINT('',(-9.21E0,-9.5E-1,-2.332E1)); +#2557=LINE('',#2556,#971); +#2558=CARTESIAN_POINT('',(-9.21E0,-1.59E0,-2.332E1)); +#2559=LINE('',#2558,#1167); +#2560=CARTESIAN_POINT('',(-8.57E0,-1.59E0,-2.332E1)); +#2561=LINE('',#2560,#1164); +#2562=LINE('',#2305,#1581); +#2563=LINE('',#2311,#1581); +#2564=LINE('',#2309,#1581); +#2565=LINE('',#2307,#1581); +#2566=CARTESIAN_POINT('',(-6.03E0,-9.5E-1,-2.332E1)); +#2567=LINE('',#2566,#1161); +#2568=CARTESIAN_POINT('',(-6.67E0,-9.5E-1,-2.332E1)); +#2569=LINE('',#2568,#971); +#2570=CARTESIAN_POINT('',(-6.67E0,-1.59E0,-2.332E1)); +#2571=LINE('',#2570,#1167); +#2572=CARTESIAN_POINT('',(-6.03E0,-1.59E0,-2.332E1)); +#2573=LINE('',#2572,#1164); +#2574=LINE('',#2313,#1581); +#2575=LINE('',#2319,#1581); +#2576=LINE('',#2317,#1581); +#2577=LINE('',#2315,#1581); +#2578=CARTESIAN_POINT('',(-3.49E0,-9.5E-1,-2.332E1)); +#2579=LINE('',#2578,#1161); +#2580=CARTESIAN_POINT('',(-4.13E0,-9.5E-1,-2.332E1)); +#2581=LINE('',#2580,#971); +#2582=CARTESIAN_POINT('',(-4.13E0,-1.59E0,-2.332E1)); +#2583=LINE('',#2582,#1167); +#2584=CARTESIAN_POINT('',(-3.49E0,-1.59E0,-2.332E1)); +#2585=LINE('',#2584,#1164); +#2586=LINE('',#2321,#1581); +#2587=LINE('',#2327,#1581); +#2588=LINE('',#2325,#1581); +#2589=LINE('',#2323,#1581); +#2590=CARTESIAN_POINT('',(-9.5E-1,-9.5E-1,-2.332E1)); +#2591=LINE('',#2590,#1161); +#2592=CARTESIAN_POINT('',(-1.59E0,-9.5E-1,-2.332E1)); +#2593=LINE('',#2592,#971); +#2594=CARTESIAN_POINT('',(-1.59E0,-1.59E0,-2.332E1)); +#2595=LINE('',#2594,#1167); +#2596=CARTESIAN_POINT('',(-9.5E-1,-1.59E0,-2.332E1)); +#2597=LINE('',#2596,#1164); +#2598=LINE('',#2329,#1581); +#2599=LINE('',#2335,#1581); +#2600=LINE('',#2333,#1581); +#2601=LINE('',#2331,#1581); +#2602=CARTESIAN_POINT('',(1.59E0,-9.5E-1,-2.332E1)); +#2603=LINE('',#2602,#1161); +#2604=CARTESIAN_POINT('',(9.5E-1,-9.5E-1,-2.332E1)); +#2605=LINE('',#2604,#971); +#2606=CARTESIAN_POINT('',(9.5E-1,-1.59E0,-2.332E1)); +#2607=LINE('',#2606,#1167); +#2608=CARTESIAN_POINT('',(1.59E0,-1.59E0,-2.332E1)); +#2609=LINE('',#2608,#1164); +#2610=LINE('',#2337,#1581); +#2611=LINE('',#2343,#1581); +#2612=LINE('',#2341,#1581); +#2613=LINE('',#2339,#1581); +#2614=CARTESIAN_POINT('',(4.13E0,-9.5E-1,-2.332E1)); +#2615=LINE('',#2614,#1161); +#2616=CARTESIAN_POINT('',(3.49E0,-9.5E-1,-2.332E1)); +#2617=LINE('',#2616,#971); +#2618=CARTESIAN_POINT('',(3.49E0,-1.59E0,-2.332E1)); +#2619=LINE('',#2618,#1167); +#2620=CARTESIAN_POINT('',(4.13E0,-1.59E0,-2.332E1)); +#2621=LINE('',#2620,#1164); +#2622=LINE('',#2345,#1581); +#2623=LINE('',#2351,#1581); +#2624=LINE('',#2349,#1581); +#2625=LINE('',#2347,#1581); +#2626=CARTESIAN_POINT('',(6.67E0,-9.5E-1,-2.332E1)); +#2627=LINE('',#2626,#1161); +#2628=CARTESIAN_POINT('',(6.03E0,-9.5E-1,-2.332E1)); +#2629=LINE('',#2628,#971); +#2630=CARTESIAN_POINT('',(6.03E0,-1.59E0,-2.332E1)); +#2631=LINE('',#2630,#1167); +#2632=CARTESIAN_POINT('',(6.67E0,-1.59E0,-2.332E1)); +#2633=LINE('',#2632,#1164); +#2634=LINE('',#2353,#1581); +#2635=LINE('',#2359,#1581); +#2636=LINE('',#2357,#1581); +#2637=LINE('',#2355,#1581); +#2638=CARTESIAN_POINT('',(9.21E0,-9.5E-1,-2.332E1)); +#2639=LINE('',#2638,#1161); +#2640=CARTESIAN_POINT('',(8.57E0,-9.5E-1,-2.332E1)); +#2641=LINE('',#2640,#971); +#2642=CARTESIAN_POINT('',(8.57E0,-1.59E0,-2.332E1)); +#2643=LINE('',#2642,#1167); +#2644=CARTESIAN_POINT('',(9.21E0,-1.59E0,-2.332E1)); +#2645=LINE('',#2644,#1164); +#2646=LINE('',#2361,#1581); +#2647=LINE('',#2367,#1581); +#2648=LINE('',#2365,#1581); +#2649=LINE('',#2363,#1581); +#2650=CARTESIAN_POINT('',(1.175E1,-9.5E-1,-2.332E1)); +#2651=LINE('',#2650,#1161); +#2652=CARTESIAN_POINT('',(1.111E1,-9.5E-1,-2.332E1)); +#2653=LINE('',#2652,#971); +#2654=CARTESIAN_POINT('',(1.111E1,-1.59E0,-2.332E1)); +#2655=LINE('',#2654,#1167); +#2656=CARTESIAN_POINT('',(1.175E1,-1.59E0,-2.332E1)); +#2657=LINE('',#2656,#1164); +#2658=LINE('',#2369,#1581); +#2659=LINE('',#2375,#1581); +#2660=LINE('',#2373,#1581); +#2661=LINE('',#2371,#1581); +#2662=CARTESIAN_POINT('',(1.429E1,-9.5E-1,-2.332E1)); +#2663=LINE('',#2662,#1161); +#2664=CARTESIAN_POINT('',(1.365E1,-9.5E-1,-2.332E1)); +#2665=LINE('',#2664,#971); +#2666=CARTESIAN_POINT('',(1.365E1,-1.59E0,-2.332E1)); +#2667=LINE('',#2666,#1167); +#2668=CARTESIAN_POINT('',(1.429E1,-1.59E0,-2.332E1)); +#2669=LINE('',#2668,#1164); +#2670=LINE('',#2377,#1581); +#2671=LINE('',#2383,#1581); +#2672=LINE('',#2381,#1581); +#2673=LINE('',#2379,#1581); +#2674=CARTESIAN_POINT('',(1.683E1,-9.5E-1,-2.332E1)); +#2675=LINE('',#2674,#1161); +#2676=CARTESIAN_POINT('',(1.619E1,-9.5E-1,-2.332E1)); +#2677=LINE('',#2676,#971); +#2678=CARTESIAN_POINT('',(1.619E1,-1.59E0,-2.332E1)); +#2679=LINE('',#2678,#1167); +#2680=CARTESIAN_POINT('',(1.683E1,-1.59E0,-2.332E1)); +#2681=LINE('',#2680,#1164); +#2682=LINE('',#2385,#1581); +#2683=LINE('',#2391,#1581); +#2684=LINE('',#2389,#1581); +#2685=LINE('',#2387,#1581); +#2686=CARTESIAN_POINT('',(1.937E1,-9.5E-1,-2.332E1)); +#2687=LINE('',#2686,#1161); +#2688=CARTESIAN_POINT('',(1.873E1,-9.5E-1,-2.332E1)); +#2689=LINE('',#2688,#971); +#2690=CARTESIAN_POINT('',(1.873E1,-1.59E0,-2.332E1)); +#2691=LINE('',#2690,#1167); +#2692=CARTESIAN_POINT('',(1.937E1,-1.59E0,-2.332E1)); +#2693=LINE('',#2692,#1164); +#2694=LINE('',#2393,#1581); +#2695=LINE('',#2399,#1581); +#2696=LINE('',#2397,#1581); +#2697=LINE('',#2395,#1581); +#2698=CARTESIAN_POINT('',(2.191E1,-9.5E-1,-2.332E1)); +#2699=LINE('',#2698,#1161); +#2700=CARTESIAN_POINT('',(2.127E1,-9.5E-1,-2.332E1)); +#2701=LINE('',#2700,#971); +#2702=CARTESIAN_POINT('',(2.127E1,-1.59E0,-2.332E1)); +#2703=LINE('',#2702,#1167); +#2704=CARTESIAN_POINT('',(2.191E1,-1.59E0,-2.332E1)); +#2705=LINE('',#2704,#1164); +#2706=LINE('',#2401,#1581); +#2707=LINE('',#2407,#1581); +#2708=LINE('',#2405,#1581); +#2709=LINE('',#2403,#1581); +#2710=CARTESIAN_POINT('',(2.445E1,-9.5E-1,-2.332E1)); +#2711=LINE('',#2710,#1161); +#2712=CARTESIAN_POINT('',(2.381E1,-9.5E-1,-2.332E1)); +#2713=LINE('',#2712,#971); +#2714=CARTESIAN_POINT('',(2.381E1,-1.59E0,-2.332E1)); +#2715=LINE('',#2714,#1167); +#2716=CARTESIAN_POINT('',(2.445E1,-1.59E0,-2.332E1)); +#2717=LINE('',#2716,#1164); +#2718=LINE('',#2409,#1581); +#2719=LINE('',#2415,#1581); +#2720=LINE('',#2413,#1581); +#2721=LINE('',#2411,#1581); +#2722=CARTESIAN_POINT('',(2.699E1,-9.5E-1,-2.332E1)); +#2723=LINE('',#2722,#1161); +#2724=CARTESIAN_POINT('',(2.635E1,-9.5E-1,-2.332E1)); +#2725=LINE('',#2724,#971); +#2726=CARTESIAN_POINT('',(2.635E1,-1.59E0,-2.332E1)); +#2727=LINE('',#2726,#1167); +#2728=CARTESIAN_POINT('',(2.699E1,-1.59E0,-2.332E1)); +#2729=LINE('',#2728,#1164); +#2730=CARTESIAN_POINT('',(-1.937E1,-4.13E0,-1.0585E1)); +#2731=LINE('',#2730,#1966); +#2732=LINE('',#2254,#1966); +#2733=CARTESIAN_POINT('',(-1.873E1,-3.49E0,-1.055E1)); +#2734=LINE('',#2733,#1581); +#2735=LINE('',#2422,#1581); +#2736=CARTESIAN_POINT('',(-1.873E1,-3.49E0,-2.332E1)); +#2737=LINE('',#2736,#1161); +#2738=CARTESIAN_POINT('',(-1.937E1,-3.49E0,-2.332E1)); +#2739=LINE('',#2738,#971); +#2740=CARTESIAN_POINT('',(-1.937E1,-4.13E0,-2.332E1)); +#2741=LINE('',#2740,#1167); +#2742=CARTESIAN_POINT('',(-1.873E1,-4.13E0,-2.332E1)); +#2743=LINE('',#2742,#1164); +#2744=CARTESIAN_POINT('',(-1.683E1,-4.13E0,-1.0585E1)); +#2745=LINE('',#2744,#1966); +#2746=LINE('',#2247,#1966); +#2747=CARTESIAN_POINT('',(-1.619E1,-3.49E0,-1.055E1)); +#2748=LINE('',#2747,#1581); +#2749=LINE('',#2426,#1581); +#2750=CARTESIAN_POINT('',(-1.619E1,-3.49E0,-2.332E1)); +#2751=LINE('',#2750,#1161); +#2752=CARTESIAN_POINT('',(-1.683E1,-3.49E0,-2.332E1)); +#2753=LINE('',#2752,#971); +#2754=CARTESIAN_POINT('',(-1.683E1,-4.13E0,-2.332E1)); +#2755=LINE('',#2754,#1167); +#2756=CARTESIAN_POINT('',(-1.619E1,-4.13E0,-2.332E1)); +#2757=LINE('',#2756,#1164); +#2758=CARTESIAN_POINT('',(-1.429E1,-4.13E0,-1.0585E1)); +#2759=LINE('',#2758,#1966); +#2760=LINE('',#2240,#1966); +#2761=CARTESIAN_POINT('',(-1.365E1,-3.49E0,-1.055E1)); +#2762=LINE('',#2761,#1581); +#2763=LINE('',#2430,#1581); +#2764=CARTESIAN_POINT('',(-1.365E1,-3.49E0,-2.332E1)); +#2765=LINE('',#2764,#1161); +#2766=CARTESIAN_POINT('',(-1.429E1,-3.49E0,-2.332E1)); +#2767=LINE('',#2766,#971); +#2768=CARTESIAN_POINT('',(-1.429E1,-4.13E0,-2.332E1)); +#2769=LINE('',#2768,#1167); +#2770=CARTESIAN_POINT('',(-1.365E1,-4.13E0,-2.332E1)); +#2771=LINE('',#2770,#1164); +#2772=CARTESIAN_POINT('',(-1.175E1,-4.13E0,-1.0585E1)); +#2773=LINE('',#2772,#1966); +#2774=LINE('',#2233,#1966); +#2775=CARTESIAN_POINT('',(-1.111E1,-3.49E0,-1.055E1)); +#2776=LINE('',#2775,#1581); +#2777=LINE('',#2436,#1581); +#2778=CARTESIAN_POINT('',(-1.111E1,-3.49E0,-2.332E1)); +#2779=LINE('',#2778,#1161); +#2780=CARTESIAN_POINT('',(-1.175E1,-3.49E0,-2.332E1)); +#2781=LINE('',#2780,#971); +#2782=CARTESIAN_POINT('',(-1.175E1,-4.13E0,-2.332E1)); +#2783=LINE('',#2782,#2292); +#2784=CARTESIAN_POINT('',(-1.111E1,-4.13E0,-2.332E1)); +#2785=LINE('',#2784,#1164); +#2786=CARTESIAN_POINT('',(-9.21E0,-4.13E0,-1.0585E1)); +#2787=LINE('',#2786,#1966); +#2788=LINE('',#2226,#1966); +#2789=CARTESIAN_POINT('',(-8.57E0,-3.49E0,-1.055E1)); +#2790=LINE('',#2789,#1581); +#2791=LINE('',#2440,#1581); +#2792=CARTESIAN_POINT('',(-8.57E0,-3.49E0,-2.332E1)); +#2793=LINE('',#2792,#1161); +#2794=CARTESIAN_POINT('',(-9.21E0,-3.49E0,-2.332E1)); +#2795=LINE('',#2794,#971); +#2796=CARTESIAN_POINT('',(-9.21E0,-4.13E0,-2.332E1)); +#2797=LINE('',#2796,#1167); +#2798=CARTESIAN_POINT('',(-8.57E0,-4.13E0,-2.332E1)); +#2799=LINE('',#2798,#1164); +#2800=CARTESIAN_POINT('',(-6.67E0,-4.13E0,-1.0585E1)); +#2801=LINE('',#2800,#1966); +#2802=LINE('',#2219,#1966); +#2803=CARTESIAN_POINT('',(-6.03E0,-3.49E0,-1.055E1)); +#2804=LINE('',#2803,#1581); +#2805=LINE('',#2444,#1581); +#2806=CARTESIAN_POINT('',(-6.03E0,-3.49E0,-2.332E1)); +#2807=LINE('',#2806,#1161); +#2808=CARTESIAN_POINT('',(-6.67E0,-3.49E0,-2.332E1)); +#2809=LINE('',#2808,#971); +#2810=CARTESIAN_POINT('',(-6.67E0,-4.13E0,-2.332E1)); +#2811=LINE('',#2810,#1167); +#2812=CARTESIAN_POINT('',(-6.03E0,-4.13E0,-2.332E1)); +#2813=LINE('',#2812,#1164); +#2814=CARTESIAN_POINT('',(-4.13E0,-4.13E0,-1.0585E1)); +#2815=LINE('',#2814,#1966); +#2816=LINE('',#2212,#1966); +#2817=CARTESIAN_POINT('',(-3.49E0,-3.49E0,-1.055E1)); +#2818=LINE('',#2817,#1581); +#2819=LINE('',#2448,#1581); +#2820=CARTESIAN_POINT('',(-3.49E0,-3.49E0,-2.332E1)); +#2821=LINE('',#2820,#1161); +#2822=CARTESIAN_POINT('',(-4.13E0,-3.49E0,-2.332E1)); +#2823=LINE('',#2822,#971); +#2824=CARTESIAN_POINT('',(-4.13E0,-4.13E0,-2.332E1)); +#2825=LINE('',#2824,#1167); +#2826=CARTESIAN_POINT('',(-3.49E0,-4.13E0,-2.332E1)); +#2827=LINE('',#2826,#1164); +#2828=CARTESIAN_POINT('',(-1.59E0,-4.13E0,-1.0585E1)); +#2829=LINE('',#2828,#1966); +#2830=LINE('',#2205,#1966); +#2831=CARTESIAN_POINT('',(-9.5E-1,-3.49E0,-1.055E1)); +#2832=LINE('',#2831,#1581); +#2833=LINE('',#2452,#1581); +#2834=CARTESIAN_POINT('',(-9.5E-1,-3.49E0,-2.332E1)); +#2835=LINE('',#2834,#1161); +#2836=CARTESIAN_POINT('',(-1.59E0,-3.49E0,-2.332E1)); +#2837=LINE('',#2836,#971); +#2838=CARTESIAN_POINT('',(-1.59E0,-4.13E0,-2.332E1)); +#2839=LINE('',#2838,#1167); +#2840=CARTESIAN_POINT('',(-9.5E-1,-4.13E0,-2.332E1)); +#2841=LINE('',#2840,#1164); +#2842=CARTESIAN_POINT('',(9.5E-1,-4.13E0,-1.0585E1)); +#2843=LINE('',#2842,#1966); +#2844=LINE('',#2198,#1966); +#2845=CARTESIAN_POINT('',(1.59E0,-3.49E0,-1.055E1)); +#2846=LINE('',#2845,#1581); +#2847=LINE('',#2456,#1581); +#2848=CARTESIAN_POINT('',(1.59E0,-3.49E0,-2.332E1)); +#2849=LINE('',#2848,#1161); +#2850=CARTESIAN_POINT('',(9.5E-1,-3.49E0,-2.332E1)); +#2851=LINE('',#2850,#971); +#2852=CARTESIAN_POINT('',(9.5E-1,-4.13E0,-2.332E1)); +#2853=LINE('',#2852,#1167); +#2854=CARTESIAN_POINT('',(1.59E0,-4.13E0,-2.332E1)); +#2855=LINE('',#2854,#1164); +#2856=CARTESIAN_POINT('',(3.49E0,-4.13E0,-1.0585E1)); +#2857=LINE('',#2856,#1966); +#2858=LINE('',#2191,#1966); +#2859=CARTESIAN_POINT('',(4.13E0,-3.49E0,-1.055E1)); +#2860=LINE('',#2859,#1581); +#2861=LINE('',#2460,#1581); +#2862=CARTESIAN_POINT('',(4.13E0,-3.49E0,-2.332E1)); +#2863=LINE('',#2862,#1161); +#2864=CARTESIAN_POINT('',(3.49E0,-3.49E0,-2.332E1)); +#2865=LINE('',#2864,#971); +#2866=CARTESIAN_POINT('',(3.49E0,-4.13E0,-2.332E1)); +#2867=LINE('',#2866,#1167); +#2868=CARTESIAN_POINT('',(4.13E0,-4.13E0,-2.332E1)); +#2869=LINE('',#2868,#1164); +#2870=CARTESIAN_POINT('',(6.03E0,-4.13E0,-1.0585E1)); +#2871=LINE('',#2870,#1966); +#2872=LINE('',#2184,#1966); +#2873=CARTESIAN_POINT('',(6.67E0,-3.49E0,-1.055E1)); +#2874=LINE('',#2873,#1581); +#2875=LINE('',#2464,#1581); +#2876=CARTESIAN_POINT('',(6.67E0,-3.49E0,-2.332E1)); +#2877=LINE('',#2876,#1161); +#2878=CARTESIAN_POINT('',(6.03E0,-3.49E0,-2.332E1)); +#2879=LINE('',#2878,#971); +#2880=CARTESIAN_POINT('',(6.03E0,-4.13E0,-2.332E1)); +#2881=LINE('',#2880,#1167); +#2882=CARTESIAN_POINT('',(6.67E0,-4.13E0,-2.332E1)); +#2883=LINE('',#2882,#1164); +#2884=CARTESIAN_POINT('',(8.57E0,-4.13E0,-1.0585E1)); +#2885=LINE('',#2884,#1966); +#2886=LINE('',#2177,#1966); +#2887=CARTESIAN_POINT('',(9.21E0,-3.49E0,-1.055E1)); +#2888=LINE('',#2887,#1581); +#2889=LINE('',#2468,#1581); +#2890=CARTESIAN_POINT('',(9.21E0,-3.49E0,-2.332E1)); +#2891=LINE('',#2890,#1161); +#2892=CARTESIAN_POINT('',(8.57E0,-3.49E0,-2.332E1)); +#2893=LINE('',#2892,#971); +#2894=CARTESIAN_POINT('',(8.57E0,-4.13E0,-2.332E1)); +#2895=LINE('',#2894,#1167); +#2896=CARTESIAN_POINT('',(9.21E0,-4.13E0,-2.332E1)); +#2897=LINE('',#2896,#1164); +#2898=CARTESIAN_POINT('',(1.111E1,-4.13E0,-1.0585E1)); +#2899=LINE('',#2898,#1966); +#2900=LINE('',#2170,#1966); +#2901=CARTESIAN_POINT('',(1.175E1,-3.49E0,-1.055E1)); +#2902=LINE('',#2901,#1581); +#2903=LINE('',#2472,#1581); +#2904=CARTESIAN_POINT('',(1.175E1,-3.49E0,-2.332E1)); +#2905=LINE('',#2904,#1161); +#2906=CARTESIAN_POINT('',(1.111E1,-3.49E0,-2.332E1)); +#2907=LINE('',#2906,#971); +#2908=CARTESIAN_POINT('',(1.111E1,-4.13E0,-2.332E1)); +#2909=LINE('',#2908,#1167); +#2910=CARTESIAN_POINT('',(1.175E1,-4.13E0,-2.332E1)); +#2911=LINE('',#2910,#1164); +#2912=CARTESIAN_POINT('',(1.365E1,-4.13E0,-1.0585E1)); +#2913=LINE('',#2912,#1966); +#2914=LINE('',#2163,#1966); +#2915=CARTESIAN_POINT('',(1.429E1,-3.49E0,-1.055E1)); +#2916=LINE('',#2915,#1581); +#2917=LINE('',#2476,#1581); +#2918=CARTESIAN_POINT('',(1.429E1,-3.49E0,-2.332E1)); +#2919=LINE('',#2918,#1161); +#2920=CARTESIAN_POINT('',(1.365E1,-3.49E0,-2.332E1)); +#2921=LINE('',#2920,#971); +#2922=CARTESIAN_POINT('',(1.365E1,-4.13E0,-2.332E1)); +#2923=LINE('',#2922,#1167); +#2924=CARTESIAN_POINT('',(1.429E1,-4.13E0,-2.332E1)); +#2925=LINE('',#2924,#1164); +#2926=CARTESIAN_POINT('',(1.619E1,-4.13E0,-1.0585E1)); +#2927=LINE('',#2926,#1966); +#2928=LINE('',#2156,#1966); +#2929=CARTESIAN_POINT('',(1.683E1,-3.49E0,-1.055E1)); +#2930=LINE('',#2929,#1581); +#2931=LINE('',#2480,#1581); +#2932=CARTESIAN_POINT('',(1.683E1,-3.49E0,-2.332E1)); +#2933=LINE('',#2932,#1161); +#2934=CARTESIAN_POINT('',(1.619E1,-3.49E0,-2.332E1)); +#2935=LINE('',#2934,#971); +#2936=CARTESIAN_POINT('',(1.619E1,-4.13E0,-2.332E1)); +#2937=LINE('',#2936,#1167); +#2938=CARTESIAN_POINT('',(1.683E1,-4.13E0,-2.332E1)); +#2939=LINE('',#2938,#1164); +#2940=CARTESIAN_POINT('',(1.873E1,-4.13E0,-1.0585E1)); +#2941=LINE('',#2940,#1966); +#2942=LINE('',#2149,#1966); +#2943=CARTESIAN_POINT('',(1.937E1,-3.49E0,-1.055E1)); +#2944=LINE('',#2943,#1581); +#2945=LINE('',#2484,#1581); +#2946=CARTESIAN_POINT('',(1.937E1,-3.49E0,-2.332E1)); +#2947=LINE('',#2946,#1161); +#2948=CARTESIAN_POINT('',(1.873E1,-3.49E0,-2.332E1)); +#2949=LINE('',#2948,#971); +#2950=CARTESIAN_POINT('',(1.873E1,-4.13E0,-2.332E1)); +#2951=LINE('',#2950,#1167); +#2952=CARTESIAN_POINT('',(1.937E1,-4.13E0,-2.332E1)); +#2953=LINE('',#2952,#1164); +#2954=CARTESIAN_POINT('',(2.127E1,-4.13E0,-1.0585E1)); +#2955=LINE('',#2954,#1966); +#2956=LINE('',#2142,#1966); +#2957=CARTESIAN_POINT('',(2.191E1,-3.49E0,-1.055E1)); +#2958=LINE('',#2957,#1581); +#2959=LINE('',#2488,#1581); +#2960=CARTESIAN_POINT('',(2.191E1,-3.49E0,-2.332E1)); +#2961=LINE('',#2960,#1161); +#2962=CARTESIAN_POINT('',(2.127E1,-3.49E0,-2.332E1)); +#2963=LINE('',#2962,#971); +#2964=CARTESIAN_POINT('',(2.127E1,-4.13E0,-2.332E1)); +#2965=LINE('',#2964,#1167); +#2966=CARTESIAN_POINT('',(2.191E1,-4.13E0,-2.332E1)); +#2967=LINE('',#2966,#1164); +#2968=CARTESIAN_POINT('',(2.381E1,-4.13E0,-1.0585E1)); +#2969=LINE('',#2968,#1966); +#2970=LINE('',#2135,#1966); +#2971=CARTESIAN_POINT('',(2.445E1,-3.49E0,-1.055E1)); +#2972=LINE('',#2971,#1581); +#2973=LINE('',#2492,#1581); +#2974=CARTESIAN_POINT('',(2.445E1,-3.49E0,-2.332E1)); +#2975=LINE('',#2974,#1161); +#2976=CARTESIAN_POINT('',(2.381E1,-3.49E0,-2.332E1)); +#2977=LINE('',#2976,#971); +#2978=CARTESIAN_POINT('',(2.381E1,-4.13E0,-2.332E1)); +#2979=LINE('',#2978,#1167); +#2980=CARTESIAN_POINT('',(2.445E1,-4.13E0,-2.332E1)); +#2981=LINE('',#2980,#1164); +#2982=CARTESIAN_POINT('',(2.635E1,-4.13E0,-1.0585E1)); +#2983=LINE('',#2982,#1966); +#2984=LINE('',#2128,#1966); +#2985=CARTESIAN_POINT('',(2.699E1,-3.49E0,-1.055E1)); +#2986=LINE('',#2985,#1581); +#2987=LINE('',#2496,#1581); +#2988=CARTESIAN_POINT('',(2.699E1,-3.49E0,-2.332E1)); +#2989=LINE('',#2988,#1161); +#2990=CARTESIAN_POINT('',(2.635E1,-3.49E0,-2.332E1)); +#2991=LINE('',#2990,#971); +#2992=CARTESIAN_POINT('',(2.635E1,-4.13E0,-2.332E1)); +#2993=LINE('',#2992,#1167); +#2994=CARTESIAN_POINT('',(2.699E1,-4.13E0,-2.332E1)); +#2995=LINE('',#2994,#1164); +#2996=CARTESIAN_POINT('',(2.889E1,-4.13E0,-1.0585E1)); +#2997=LINE('',#2996,#1966); +#2998=LINE('',#2121,#1966); +#2999=CARTESIAN_POINT('',(2.953E1,-3.49E0,-2.332E1)); +#3000=LINE('',#2999,#1161); +#3001=CARTESIAN_POINT('',(2.889E1,-3.49E0,-2.332E1)); +#3002=LINE('',#3001,#971); +#3003=CARTESIAN_POINT('',(2.889E1,-4.13E0,-2.332E1)); +#3004=LINE('',#3003,#1167); +#3005=CARTESIAN_POINT('',(2.953E1,-4.13E0,-2.332E1)); +#3006=LINE('',#3005,#1164); +#3007=LINE('',#2500,#1581); +#3008=LINE('',#78,#919); +#3009=CARTESIAN_POINT('',(3.969E1,4.13E0,-1.0585E1)); +#3010=LINE('',#3009,#1966); +#3011=LINE('',#1104,#1966); +#3012=CARTESIAN_POINT('',(3.905E1,3.49E0,-1.055E1)); +#3013=LINE('',#3012,#1581); +#3014=LINE('',#1473,#1581); +#3015=CARTESIAN_POINT('',(3.969E1,4.13E0,-2.332E1)); +#3016=LINE('',#3015,#1161); +#3017=CARTESIAN_POINT('',(3.905E1,4.13E0,-2.332E1)); +#3018=LINE('',#3017,#971); +#3019=CARTESIAN_POINT('',(3.905E1,3.49E0,-2.332E1)); +#3020=LINE('',#3019,#1167); +#3021=CARTESIAN_POINT('',(3.969E1,3.49E0,-2.332E1)); +#3022=LINE('',#3021,#1164); +#3023=CARTESIAN_POINT('',(3.715E1,4.13E0,-1.0585E1)); +#3024=LINE('',#3023,#1966); +#3025=LINE('',#1098,#1966); +#3026=CARTESIAN_POINT('',(3.651E1,3.49E0,-1.055E1)); +#3027=LINE('',#3026,#1581); +#3028=LINE('',#1478,#1581); +#3029=CARTESIAN_POINT('',(3.715E1,4.13E0,-2.332E1)); +#3030=LINE('',#3029,#1161); +#3031=CARTESIAN_POINT('',(3.651E1,4.13E0,-2.332E1)); +#3032=LINE('',#3031,#971); +#3033=CARTESIAN_POINT('',(3.651E1,3.49E0,-2.332E1)); +#3034=LINE('',#3033,#1167); +#3035=CARTESIAN_POINT('',(3.715E1,3.49E0,-2.332E1)); +#3036=LINE('',#3035,#1164); +#3037=CARTESIAN_POINT('',(3.461E1,4.13E0,-1.0585E1)); +#3038=LINE('',#3037,#1966); +#3039=LINE('',#1092,#1966); +#3040=CARTESIAN_POINT('',(3.397E1,3.49E0,-1.055E1)); +#3041=LINE('',#3040,#1581); +#3042=LINE('',#1483,#1581); +#3043=CARTESIAN_POINT('',(3.461E1,4.13E0,-2.332E1)); +#3044=LINE('',#3043,#1161); +#3045=CARTESIAN_POINT('',(3.397E1,4.13E0,-2.332E1)); +#3046=LINE('',#3045,#971); +#3047=CARTESIAN_POINT('',(3.397E1,3.49E0,-2.332E1)); +#3048=LINE('',#3047,#1167); +#3049=CARTESIAN_POINT('',(3.461E1,3.49E0,-2.332E1)); +#3050=LINE('',#3049,#1164); +#3051=CARTESIAN_POINT('',(3.207E1,4.13E0,-1.0585E1)); +#3052=LINE('',#3051,#1966); +#3053=LINE('',#1086,#1966); +#3054=CARTESIAN_POINT('',(3.143E1,3.49E0,-1.055E1)); +#3055=LINE('',#3054,#1581); +#3056=LINE('',#1488,#1581); +#3057=CARTESIAN_POINT('',(3.207E1,4.13E0,-2.332E1)); +#3058=LINE('',#3057,#1161); +#3059=CARTESIAN_POINT('',(3.143E1,4.13E0,-2.332E1)); +#3060=LINE('',#3059,#971); +#3061=CARTESIAN_POINT('',(3.143E1,3.49E0,-2.332E1)); +#3062=LINE('',#3061,#1167); +#3063=CARTESIAN_POINT('',(3.207E1,3.49E0,-2.332E1)); +#3064=LINE('',#3063,#1164); +#3065=CARTESIAN_POINT('',(2.953E1,4.13E0,-1.0585E1)); +#3066=LINE('',#3065,#1966); +#3067=LINE('',#1080,#1966); +#3068=CARTESIAN_POINT('',(2.889E1,3.49E0,-1.055E1)); +#3069=LINE('',#3068,#1581); +#3070=LINE('',#1493,#1581); +#3071=CARTESIAN_POINT('',(2.953E1,4.13E0,-2.332E1)); +#3072=LINE('',#3071,#1161); +#3073=CARTESIAN_POINT('',(2.889E1,4.13E0,-2.332E1)); +#3074=LINE('',#3073,#971); +#3075=CARTESIAN_POINT('',(2.889E1,3.49E0,-2.332E1)); +#3076=LINE('',#3075,#1167); +#3077=CARTESIAN_POINT('',(2.953E1,3.49E0,-2.332E1)); +#3078=LINE('',#3077,#1164); +#3079=CARTESIAN_POINT('',(2.699E1,4.13E0,-1.0585E1)); +#3080=LINE('',#3079,#1966); +#3081=LINE('',#1074,#1966); +#3082=CARTESIAN_POINT('',(2.635E1,3.49E0,-1.055E1)); +#3083=LINE('',#3082,#1581); +#3084=LINE('',#1498,#1581); +#3085=CARTESIAN_POINT('',(2.699E1,4.13E0,-2.332E1)); +#3086=LINE('',#3085,#1161); +#3087=CARTESIAN_POINT('',(2.635E1,4.13E0,-2.332E1)); +#3088=LINE('',#3087,#971); +#3089=CARTESIAN_POINT('',(2.635E1,3.49E0,-2.332E1)); +#3090=LINE('',#3089,#1167); +#3091=CARTESIAN_POINT('',(2.699E1,3.49E0,-2.332E1)); +#3092=LINE('',#3091,#1164); +#3093=CARTESIAN_POINT('',(2.445E1,4.13E0,-1.0585E1)); +#3094=LINE('',#3093,#1966); +#3095=LINE('',#1068,#1966); +#3096=CARTESIAN_POINT('',(2.381E1,3.49E0,-1.055E1)); +#3097=LINE('',#3096,#1581); +#3098=LINE('',#1503,#1581); +#3099=CARTESIAN_POINT('',(2.445E1,4.13E0,-2.332E1)); +#3100=LINE('',#3099,#1161); +#3101=CARTESIAN_POINT('',(2.381E1,4.13E0,-2.332E1)); +#3102=LINE('',#3101,#971); +#3103=CARTESIAN_POINT('',(2.381E1,3.49E0,-2.332E1)); +#3104=LINE('',#3103,#1167); +#3105=CARTESIAN_POINT('',(2.445E1,3.49E0,-2.332E1)); +#3106=LINE('',#3105,#1164); +#3107=CARTESIAN_POINT('',(2.191E1,4.13E0,-1.0585E1)); +#3108=LINE('',#3107,#1966); +#3109=LINE('',#1062,#1966); +#3110=CARTESIAN_POINT('',(2.127E1,3.49E0,-1.055E1)); +#3111=LINE('',#3110,#1581); +#3112=LINE('',#1508,#1581); +#3113=CARTESIAN_POINT('',(2.191E1,4.13E0,-2.332E1)); +#3114=LINE('',#3113,#1161); +#3115=CARTESIAN_POINT('',(2.127E1,4.13E0,-2.332E1)); +#3116=LINE('',#3115,#971); +#3117=CARTESIAN_POINT('',(2.127E1,3.49E0,-2.332E1)); +#3118=LINE('',#3117,#1167); +#3119=CARTESIAN_POINT('',(2.191E1,3.49E0,-2.332E1)); +#3120=LINE('',#3119,#1164); +#3121=CARTESIAN_POINT('',(1.937E1,4.13E0,-1.0585E1)); +#3122=LINE('',#3121,#1966); +#3123=LINE('',#1056,#1966); +#3124=CARTESIAN_POINT('',(1.873E1,3.49E0,-1.055E1)); +#3125=LINE('',#3124,#1581); +#3126=LINE('',#1513,#1581); +#3127=CARTESIAN_POINT('',(1.937E1,4.13E0,-2.332E1)); +#3128=LINE('',#3127,#1161); +#3129=CARTESIAN_POINT('',(1.873E1,4.13E0,-2.332E1)); +#3130=LINE('',#3129,#971); +#3131=CARTESIAN_POINT('',(1.873E1,3.49E0,-2.332E1)); +#3132=LINE('',#3131,#1167); +#3133=CARTESIAN_POINT('',(1.937E1,3.49E0,-2.332E1)); +#3134=LINE('',#3133,#1164); +#3135=CARTESIAN_POINT('',(1.683E1,4.13E0,-1.0585E1)); +#3136=LINE('',#3135,#1966); +#3137=LINE('',#1050,#1966); +#3138=CARTESIAN_POINT('',(1.619E1,3.49E0,-1.055E1)); +#3139=LINE('',#3138,#1581); +#3140=LINE('',#1518,#1581); +#3141=CARTESIAN_POINT('',(1.683E1,4.13E0,-2.332E1)); +#3142=LINE('',#3141,#1161); +#3143=CARTESIAN_POINT('',(1.619E1,4.13E0,-2.332E1)); +#3144=LINE('',#3143,#971); +#3145=CARTESIAN_POINT('',(1.619E1,3.49E0,-2.332E1)); +#3146=LINE('',#3145,#1167); +#3147=CARTESIAN_POINT('',(1.683E1,3.49E0,-2.332E1)); +#3148=LINE('',#3147,#1164); +#3149=CARTESIAN_POINT('',(1.429E1,4.13E0,-1.0585E1)); +#3150=LINE('',#3149,#1966); +#3151=LINE('',#1044,#1966); +#3152=CARTESIAN_POINT('',(1.365E1,3.49E0,-1.055E1)); +#3153=LINE('',#3152,#1581); +#3154=LINE('',#1523,#1581); +#3155=CARTESIAN_POINT('',(1.429E1,4.13E0,-2.332E1)); +#3156=LINE('',#3155,#1161); +#3157=CARTESIAN_POINT('',(1.365E1,4.13E0,-2.332E1)); +#3158=LINE('',#3157,#971); +#3159=CARTESIAN_POINT('',(1.365E1,3.49E0,-2.332E1)); +#3160=LINE('',#3159,#1167); +#3161=CARTESIAN_POINT('',(1.429E1,3.49E0,-2.332E1)); +#3162=LINE('',#3161,#1164); +#3163=CARTESIAN_POINT('',(1.175E1,4.13E0,-1.0585E1)); +#3164=LINE('',#3163,#1966); +#3165=LINE('',#1038,#1966); +#3166=CARTESIAN_POINT('',(1.111E1,3.49E0,-1.055E1)); +#3167=LINE('',#3166,#1581); +#3168=LINE('',#1528,#1581); +#3169=CARTESIAN_POINT('',(1.175E1,4.13E0,-2.332E1)); +#3170=LINE('',#3169,#1161); +#3171=CARTESIAN_POINT('',(1.111E1,4.13E0,-2.332E1)); +#3172=LINE('',#3171,#971); +#3173=CARTESIAN_POINT('',(1.111E1,3.49E0,-2.332E1)); +#3174=LINE('',#3173,#1167); +#3175=CARTESIAN_POINT('',(1.175E1,3.49E0,-2.332E1)); +#3176=LINE('',#3175,#1164); +#3177=CARTESIAN_POINT('',(9.21E0,4.13E0,-1.0585E1)); +#3178=LINE('',#3177,#1966); +#3179=LINE('',#1032,#1966); +#3180=CARTESIAN_POINT('',(8.57E0,3.49E0,-1.055E1)); +#3181=LINE('',#3180,#1581); +#3182=LINE('',#1533,#1581); +#3183=CARTESIAN_POINT('',(9.21E0,4.13E0,-2.332E1)); +#3184=LINE('',#3183,#1161); +#3185=CARTESIAN_POINT('',(8.57E0,4.13E0,-2.332E1)); +#3186=LINE('',#3185,#971); +#3187=CARTESIAN_POINT('',(8.57E0,3.49E0,-2.332E1)); +#3188=LINE('',#3187,#1167); +#3189=CARTESIAN_POINT('',(9.21E0,3.49E0,-2.332E1)); +#3190=LINE('',#3189,#1164); +#3191=CARTESIAN_POINT('',(6.67E0,4.13E0,-1.0585E1)); +#3192=LINE('',#3191,#1966); +#3193=LINE('',#1026,#1966); +#3194=CARTESIAN_POINT('',(6.03E0,3.49E0,-1.055E1)); +#3195=LINE('',#3194,#1581); +#3196=LINE('',#1538,#1581); +#3197=CARTESIAN_POINT('',(6.67E0,4.13E0,-2.332E1)); +#3198=LINE('',#3197,#1161); +#3199=CARTESIAN_POINT('',(6.03E0,4.13E0,-2.332E1)); +#3200=LINE('',#3199,#971); +#3201=CARTESIAN_POINT('',(6.03E0,3.49E0,-2.332E1)); +#3202=LINE('',#3201,#1167); +#3203=CARTESIAN_POINT('',(6.67E0,3.49E0,-2.332E1)); +#3204=LINE('',#3203,#1164); +#3205=CARTESIAN_POINT('',(4.13E0,4.13E0,-1.0585E1)); +#3206=LINE('',#3205,#1966); +#3207=LINE('',#1020,#1966); +#3208=CARTESIAN_POINT('',(3.49E0,3.49E0,-1.055E1)); +#3209=LINE('',#3208,#1581); +#3210=LINE('',#1543,#1581); +#3211=CARTESIAN_POINT('',(4.13E0,4.13E0,-2.332E1)); +#3212=LINE('',#3211,#1161); +#3213=CARTESIAN_POINT('',(3.49E0,4.13E0,-2.332E1)); +#3214=LINE('',#3213,#971); +#3215=CARTESIAN_POINT('',(3.49E0,3.49E0,-2.332E1)); +#3216=LINE('',#3215,#1167); +#3217=CARTESIAN_POINT('',(4.13E0,3.49E0,-2.332E1)); +#3218=LINE('',#3217,#1164); +#3219=CARTESIAN_POINT('',(1.59E0,4.13E0,-1.0585E1)); +#3220=LINE('',#3219,#1966); +#3221=LINE('',#1014,#1966); +#3222=CARTESIAN_POINT('',(9.5E-1,3.49E0,-1.055E1)); +#3223=LINE('',#3222,#1581); +#3224=LINE('',#1548,#1581); +#3225=CARTESIAN_POINT('',(1.59E0,4.13E0,-2.332E1)); +#3226=LINE('',#3225,#1161); +#3227=CARTESIAN_POINT('',(9.5E-1,4.13E0,-2.332E1)); +#3228=LINE('',#3227,#971); +#3229=CARTESIAN_POINT('',(9.5E-1,3.49E0,-2.332E1)); +#3230=LINE('',#3229,#1167); +#3231=CARTESIAN_POINT('',(1.59E0,3.49E0,-2.332E1)); +#3232=LINE('',#3231,#1164); +#3233=CARTESIAN_POINT('',(-9.5E-1,4.13E0,-1.0585E1)); +#3234=LINE('',#3233,#1966); +#3235=LINE('',#1008,#1966); +#3236=CARTESIAN_POINT('',(-1.59E0,3.49E0,-1.055E1)); +#3237=LINE('',#3236,#1581); +#3238=LINE('',#1553,#1581); +#3239=CARTESIAN_POINT('',(-9.5E-1,4.13E0,-2.332E1)); +#3240=LINE('',#3239,#1161); +#3241=CARTESIAN_POINT('',(-1.59E0,4.13E0,-2.332E1)); +#3242=LINE('',#3241,#971); +#3243=CARTESIAN_POINT('',(-1.59E0,3.49E0,-2.332E1)); +#3244=LINE('',#3243,#1167); +#3245=CARTESIAN_POINT('',(-9.5E-1,3.49E0,-2.332E1)); +#3246=LINE('',#3245,#1164); +#3247=CARTESIAN_POINT('',(-3.49E0,4.13E0,-1.0585E1)); +#3248=LINE('',#3247,#1966); +#3249=LINE('',#1002,#1966); +#3250=CARTESIAN_POINT('',(-4.13E0,3.49E0,-1.055E1)); +#3251=LINE('',#3250,#1581); +#3252=LINE('',#1558,#1581); +#3253=CARTESIAN_POINT('',(-3.49E0,4.13E0,-2.332E1)); +#3254=LINE('',#3253,#1161); +#3255=CARTESIAN_POINT('',(-4.13E0,4.13E0,-2.332E1)); +#3256=LINE('',#3255,#971); +#3257=CARTESIAN_POINT('',(-4.13E0,3.49E0,-2.332E1)); +#3258=LINE('',#3257,#1167); +#3259=CARTESIAN_POINT('',(-3.49E0,3.49E0,-2.332E1)); +#3260=LINE('',#3259,#1164); +#3261=CARTESIAN_POINT('',(-6.03E0,4.13E0,-1.0585E1)); +#3262=LINE('',#3261,#1966); +#3263=LINE('',#996,#1966); +#3264=CARTESIAN_POINT('',(-6.67E0,3.49E0,-1.055E1)); +#3265=LINE('',#3264,#1581); +#3266=LINE('',#1563,#1581); +#3267=CARTESIAN_POINT('',(-6.03E0,4.13E0,-2.332E1)); +#3268=LINE('',#3267,#1161); +#3269=CARTESIAN_POINT('',(-6.67E0,4.13E0,-2.332E1)); +#3270=LINE('',#3269,#971); +#3271=CARTESIAN_POINT('',(-6.67E0,3.49E0,-2.332E1)); +#3272=LINE('',#3271,#1167); +#3273=CARTESIAN_POINT('',(-6.03E0,3.49E0,-2.332E1)); +#3274=LINE('',#3273,#1164); +#3275=CARTESIAN_POINT('',(-8.57E0,4.13E0,-1.0585E1)); +#3276=LINE('',#3275,#1966); +#3277=LINE('',#990,#1966); +#3278=CARTESIAN_POINT('',(-9.21E0,3.49E0,-1.055E1)); +#3279=LINE('',#3278,#1581); +#3280=LINE('',#1568,#1581); +#3281=CARTESIAN_POINT('',(-8.57E0,4.13E0,-2.332E1)); +#3282=LINE('',#3281,#1161); +#3283=CARTESIAN_POINT('',(-9.21E0,4.13E0,-2.332E1)); +#3284=LINE('',#3283,#971); +#3285=CARTESIAN_POINT('',(-9.21E0,3.49E0,-2.332E1)); +#3286=LINE('',#3285,#1167); +#3287=CARTESIAN_POINT('',(-8.57E0,3.49E0,-2.332E1)); +#3288=LINE('',#3287,#1164); +#3289=CARTESIAN_POINT('',(-1.111E1,4.13E0,-1.0585E1)); +#3290=LINE('',#3289,#1966); +#3291=LINE('',#980,#1966); +#3292=CARTESIAN_POINT('',(-1.175E1,3.49E0,-1.055E1)); +#3293=LINE('',#3292,#1581); +#3294=LINE('',#1573,#1581); +#3295=CARTESIAN_POINT('',(-1.111E1,4.13E0,-2.332E1)); +#3296=LINE('',#3295,#1161); +#3297=CARTESIAN_POINT('',(-1.175E1,4.13E0,-2.332E1)); +#3298=LINE('',#3297,#971); +#3299=CARTESIAN_POINT('',(-1.175E1,3.49E0,-2.332E1)); +#3300=LINE('',#3299,#1167); +#3301=CARTESIAN_POINT('',(-1.111E1,3.49E0,-2.332E1)); +#3302=LINE('',#3301,#1164); +#3303=CARTESIAN_POINT('',(-1.365E1,4.13E0,-1.0585E1)); +#3304=LINE('',#3303,#1966); +#3305=LINE('',#972,#1966); +#3306=CARTESIAN_POINT('',(-1.429E1,3.49E0,-1.055E1)); +#3307=LINE('',#3306,#1581); +#3308=LINE('',#1578,#1581); +#3309=CARTESIAN_POINT('',(-1.365E1,4.13E0,-2.332E1)); +#3310=LINE('',#3309,#1161); +#3311=CARTESIAN_POINT('',(-1.429E1,4.13E0,-2.332E1)); +#3312=LINE('',#3311,#971); +#3313=CARTESIAN_POINT('',(-1.429E1,3.49E0,-2.332E1)); +#3314=LINE('',#3313,#1167); +#3315=CARTESIAN_POINT('',(-1.365E1,3.49E0,-2.332E1)); +#3316=LINE('',#3315,#1164); +#3317=DIRECTION('',(-5.748181278443E-1,5.823815242633E-1,5.748181278443E-1)); +#3318=VECTOR('',#3317,6.610786640030E-1); +#3319=CARTESIAN_POINT('',(-3.9735E1,4.17E0,-3.8E-1)); +#3320=LINE('',#3319,#3318); +#3321=DIRECTION('',(5.748181278443E-1,5.823815242633E-1,5.748181278443E-1)); +#3322=VECTOR('',#3321,6.610786640030E-1); +#3323=CARTESIAN_POINT('',(-3.9005E1,4.17E0,-3.8E-1)); +#3324=LINE('',#3323,#3322); +#3325=DIRECTION('',(5.748181278443E-1,-5.823815242633E-1,5.748181278443E-1)); +#3326=VECTOR('',#3325,6.610786640030E-1); +#3327=CARTESIAN_POINT('',(-3.9005E1,3.45E0,-3.8E-1)); +#3328=LINE('',#3327,#3326); +#3329=DIRECTION('',(-5.748181278443E-1,-5.823815242633E-1,5.748181278443E-1)); +#3330=VECTOR('',#3329,6.610786640030E-1); +#3331=CARTESIAN_POINT('',(-3.9735E1,3.45E0,-3.8E-1)); +#3332=LINE('',#3331,#3330); +#3333=VECTOR('',#72,7.2E-1); +#3334=LINE('',#3319,#3333); +#3335=VECTOR('',#76,7.3E-1); +#3336=LINE('',#3323,#3335); +#3337=VECTOR('',#80,7.2E-1); +#3338=LINE('',#3327,#3337); +#3339=VECTOR('',#84,7.3E-1); +#3340=LINE('',#3331,#3339); +#3341=CARTESIAN_POINT('',(-3.7195E1,4.17E0,-3.8E-1)); +#3342=LINE('',#3341,#3318); +#3343=CARTESIAN_POINT('',(-3.6465E1,4.17E0,-3.8E-1)); +#3344=LINE('',#3343,#3322); +#3345=CARTESIAN_POINT('',(-3.6465E1,3.45E0,-3.8E-1)); +#3346=LINE('',#3345,#3326); +#3347=CARTESIAN_POINT('',(-3.7195E1,3.45E0,-3.8E-1)); +#3348=LINE('',#3347,#3330); +#3349=LINE('',#3341,#3333); +#3350=LINE('',#3343,#3335); +#3351=LINE('',#3345,#3337); +#3352=LINE('',#3347,#3339); +#3353=CARTESIAN_POINT('',(-3.4655E1,4.17E0,-3.8E-1)); +#3354=LINE('',#3353,#3318); +#3355=CARTESIAN_POINT('',(-3.3925E1,4.17E0,-3.8E-1)); +#3356=LINE('',#3355,#3322); +#3357=CARTESIAN_POINT('',(-3.3925E1,3.45E0,-3.8E-1)); +#3358=LINE('',#3357,#3326); +#3359=CARTESIAN_POINT('',(-3.4655E1,3.45E0,-3.8E-1)); +#3360=LINE('',#3359,#3330); +#3361=LINE('',#3353,#3333); +#3362=LINE('',#3355,#3335); +#3363=LINE('',#3357,#3337); +#3364=LINE('',#3359,#3339); +#3365=CARTESIAN_POINT('',(-3.2115E1,4.17E0,-3.8E-1)); +#3366=LINE('',#3365,#3318); +#3367=CARTESIAN_POINT('',(-3.1385E1,4.17E0,-3.8E-1)); +#3368=LINE('',#3367,#3322); +#3369=CARTESIAN_POINT('',(-3.1385E1,3.45E0,-3.8E-1)); +#3370=LINE('',#3369,#3326); +#3371=CARTESIAN_POINT('',(-3.2115E1,3.45E0,-3.8E-1)); +#3372=LINE('',#3371,#3330); +#3373=LINE('',#3365,#3333); +#3374=LINE('',#3367,#3335); +#3375=LINE('',#3369,#3337); +#3376=LINE('',#3371,#3339); +#3377=CARTESIAN_POINT('',(-2.9575E1,4.17E0,-3.8E-1)); +#3378=LINE('',#3377,#3318); +#3379=CARTESIAN_POINT('',(-2.8845E1,4.17E0,-3.8E-1)); +#3380=LINE('',#3379,#3322); +#3381=CARTESIAN_POINT('',(-2.8845E1,3.45E0,-3.8E-1)); +#3382=LINE('',#3381,#3326); +#3383=CARTESIAN_POINT('',(-2.9575E1,3.45E0,-3.8E-1)); +#3384=LINE('',#3383,#3330); +#3385=LINE('',#3377,#3333); +#3386=LINE('',#3379,#3335); +#3387=LINE('',#3381,#3337); +#3388=LINE('',#3383,#3339); +#3389=CARTESIAN_POINT('',(-2.7035E1,4.17E0,-3.8E-1)); +#3390=LINE('',#3389,#3318); +#3391=CARTESIAN_POINT('',(-2.6305E1,4.17E0,-3.8E-1)); +#3392=LINE('',#3391,#3322); +#3393=CARTESIAN_POINT('',(-2.6305E1,3.45E0,-3.8E-1)); +#3394=LINE('',#3393,#3326); +#3395=CARTESIAN_POINT('',(-2.7035E1,3.45E0,-3.8E-1)); +#3396=LINE('',#3395,#3330); +#3397=LINE('',#3389,#3333); +#3398=LINE('',#3391,#3335); +#3399=LINE('',#3393,#3337); +#3400=LINE('',#3395,#3339); +#3401=CARTESIAN_POINT('',(-2.4495E1,4.17E0,-3.8E-1)); +#3402=LINE('',#3401,#3318); +#3403=CARTESIAN_POINT('',(-2.3765E1,4.17E0,-3.8E-1)); +#3404=LINE('',#3403,#3322); +#3405=CARTESIAN_POINT('',(-2.3765E1,3.45E0,-3.8E-1)); +#3406=LINE('',#3405,#3326); +#3407=CARTESIAN_POINT('',(-2.4495E1,3.45E0,-3.8E-1)); +#3408=LINE('',#3407,#3330); +#3409=LINE('',#3401,#3333); +#3410=LINE('',#3403,#3335); +#3411=LINE('',#3405,#3337); +#3412=LINE('',#3407,#3339); +#3413=CARTESIAN_POINT('',(-2.1955E1,4.17E0,-3.8E-1)); +#3414=LINE('',#3413,#3318); +#3415=CARTESIAN_POINT('',(-2.1225E1,4.17E0,-3.8E-1)); +#3416=LINE('',#3415,#3322); +#3417=CARTESIAN_POINT('',(-2.1225E1,3.45E0,-3.8E-1)); +#3418=LINE('',#3417,#3326); +#3419=CARTESIAN_POINT('',(-2.1955E1,3.45E0,-3.8E-1)); +#3420=LINE('',#3419,#3330); +#3421=LINE('',#3413,#3333); +#3422=LINE('',#3415,#3335); +#3423=LINE('',#3417,#3337); +#3424=LINE('',#3419,#3339); +#3425=CARTESIAN_POINT('',(-1.9415E1,4.17E0,-3.8E-1)); +#3426=LINE('',#3425,#3318); +#3427=CARTESIAN_POINT('',(-1.8685E1,4.17E0,-3.8E-1)); +#3428=LINE('',#3427,#3322); +#3429=CARTESIAN_POINT('',(-1.8685E1,3.45E0,-3.8E-1)); +#3430=LINE('',#3429,#3326); +#3431=CARTESIAN_POINT('',(-1.9415E1,3.45E0,-3.8E-1)); +#3432=LINE('',#3431,#3330); +#3433=LINE('',#3425,#3333); +#3434=LINE('',#3427,#3335); +#3435=LINE('',#3429,#3337); +#3436=LINE('',#3431,#3339); +#3437=CARTESIAN_POINT('',(-1.4335E1,4.17E0,-3.8E-1)); +#3438=LINE('',#3437,#3318); +#3439=CARTESIAN_POINT('',(-1.3605E1,4.17E0,-3.8E-1)); +#3440=LINE('',#3439,#3322); +#3441=CARTESIAN_POINT('',(-1.3605E1,3.45E0,-3.8E-1)); +#3442=LINE('',#3441,#3326); +#3443=CARTESIAN_POINT('',(-1.4335E1,3.45E0,-3.8E-1)); +#3444=LINE('',#3443,#3330); +#3445=LINE('',#3437,#3333); +#3446=LINE('',#3439,#3335); +#3447=LINE('',#3441,#3337); +#3448=LINE('',#3443,#3339); +#3449=CARTESIAN_POINT('',(-1.1795E1,4.17E0,-3.8E-1)); +#3450=LINE('',#3449,#3318); +#3451=CARTESIAN_POINT('',(-1.1065E1,4.17E0,-3.8E-1)); +#3452=LINE('',#3451,#3322); +#3453=CARTESIAN_POINT('',(-1.1065E1,3.45E0,-3.8E-1)); +#3454=LINE('',#3453,#3326); +#3455=CARTESIAN_POINT('',(-1.1795E1,3.45E0,-3.8E-1)); +#3456=LINE('',#3455,#3330); +#3457=LINE('',#3449,#3333); +#3458=LINE('',#3451,#3335); +#3459=LINE('',#3453,#3337); +#3460=LINE('',#3455,#3339); +#3461=CARTESIAN_POINT('',(-9.255E0,4.17E0,-3.8E-1)); +#3462=LINE('',#3461,#3318); +#3463=CARTESIAN_POINT('',(-8.525E0,4.17E0,-3.8E-1)); +#3464=LINE('',#3463,#3322); +#3465=CARTESIAN_POINT('',(-8.525E0,3.45E0,-3.8E-1)); +#3466=LINE('',#3465,#3326); +#3467=CARTESIAN_POINT('',(-9.255E0,3.45E0,-3.8E-1)); +#3468=LINE('',#3467,#3330); +#3469=LINE('',#3461,#3333); +#3470=LINE('',#3463,#3335); +#3471=LINE('',#3465,#3337); +#3472=LINE('',#3467,#3339); +#3473=CARTESIAN_POINT('',(-6.715E0,4.17E0,-3.8E-1)); +#3474=LINE('',#3473,#3318); +#3475=CARTESIAN_POINT('',(-5.985E0,4.17E0,-3.8E-1)); +#3476=LINE('',#3475,#3322); +#3477=CARTESIAN_POINT('',(-5.985E0,3.45E0,-3.8E-1)); +#3478=LINE('',#3477,#3326); +#3479=CARTESIAN_POINT('',(-6.715E0,3.45E0,-3.8E-1)); +#3480=LINE('',#3479,#3330); +#3481=LINE('',#3473,#3333); +#3482=LINE('',#3475,#3335); +#3483=LINE('',#3477,#3337); +#3484=LINE('',#3479,#3339); +#3485=CARTESIAN_POINT('',(-4.175E0,4.17E0,-3.8E-1)); +#3486=LINE('',#3485,#3318); +#3487=CARTESIAN_POINT('',(-3.445E0,4.17E0,-3.8E-1)); +#3488=LINE('',#3487,#3322); +#3489=CARTESIAN_POINT('',(-3.445E0,3.45E0,-3.8E-1)); +#3490=LINE('',#3489,#3326); +#3491=CARTESIAN_POINT('',(-4.175E0,3.45E0,-3.8E-1)); +#3492=LINE('',#3491,#3330); +#3493=LINE('',#3485,#3333); +#3494=LINE('',#3487,#3335); +#3495=LINE('',#3489,#3337); +#3496=LINE('',#3491,#3339); +#3497=CARTESIAN_POINT('',(-1.635E0,4.17E0,-3.8E-1)); +#3498=LINE('',#3497,#3318); +#3499=CARTESIAN_POINT('',(-9.05E-1,4.17E0,-3.8E-1)); +#3500=LINE('',#3499,#3322); +#3501=CARTESIAN_POINT('',(-9.05E-1,3.45E0,-3.8E-1)); +#3502=LINE('',#3501,#3326); +#3503=CARTESIAN_POINT('',(-1.635E0,3.45E0,-3.8E-1)); +#3504=LINE('',#3503,#3330); +#3505=LINE('',#3497,#3333); +#3506=LINE('',#3499,#3335); +#3507=LINE('',#3501,#3337); +#3508=LINE('',#3503,#3339); +#3509=CARTESIAN_POINT('',(9.05E-1,4.17E0,-3.8E-1)); +#3510=LINE('',#3509,#3318); +#3511=CARTESIAN_POINT('',(1.635E0,4.17E0,-3.8E-1)); +#3512=LINE('',#3511,#3322); +#3513=CARTESIAN_POINT('',(1.635E0,3.45E0,-3.8E-1)); +#3514=LINE('',#3513,#3326); +#3515=CARTESIAN_POINT('',(9.05E-1,3.45E0,-3.8E-1)); +#3516=LINE('',#3515,#3330); +#3517=LINE('',#3509,#3333); +#3518=LINE('',#3511,#3335); +#3519=LINE('',#3513,#3337); +#3520=LINE('',#3515,#3339); +#3521=CARTESIAN_POINT('',(3.445E0,4.17E0,-3.8E-1)); +#3522=LINE('',#3521,#3318); +#3523=CARTESIAN_POINT('',(4.175E0,4.17E0,-3.8E-1)); +#3524=LINE('',#3523,#3322); +#3525=CARTESIAN_POINT('',(4.175E0,3.45E0,-3.8E-1)); +#3526=LINE('',#3525,#3326); +#3527=CARTESIAN_POINT('',(3.445E0,3.45E0,-3.8E-1)); +#3528=LINE('',#3527,#3330); +#3529=LINE('',#3521,#3333); +#3530=LINE('',#3523,#3335); +#3531=LINE('',#3525,#3337); +#3532=LINE('',#3527,#3339); +#3533=CARTESIAN_POINT('',(5.985E0,4.17E0,-3.8E-1)); +#3534=LINE('',#3533,#3318); +#3535=CARTESIAN_POINT('',(6.715E0,4.17E0,-3.8E-1)); +#3536=LINE('',#3535,#3322); +#3537=CARTESIAN_POINT('',(6.715E0,3.45E0,-3.8E-1)); +#3538=LINE('',#3537,#3326); +#3539=CARTESIAN_POINT('',(5.985E0,3.45E0,-3.8E-1)); +#3540=LINE('',#3539,#3330); +#3541=LINE('',#3533,#3333); +#3542=LINE('',#3535,#3335); +#3543=LINE('',#3537,#3337); +#3544=LINE('',#3539,#3339); +#3545=CARTESIAN_POINT('',(8.525E0,4.17E0,-3.8E-1)); +#3546=LINE('',#3545,#3318); +#3547=CARTESIAN_POINT('',(9.255E0,4.17E0,-3.8E-1)); +#3548=LINE('',#3547,#3322); +#3549=CARTESIAN_POINT('',(9.255E0,3.45E0,-3.8E-1)); +#3550=LINE('',#3549,#3326); +#3551=CARTESIAN_POINT('',(8.525E0,3.45E0,-3.8E-1)); +#3552=LINE('',#3551,#3330); +#3553=LINE('',#3545,#3333); +#3554=LINE('',#3547,#3335); +#3555=LINE('',#3549,#3337); +#3556=LINE('',#3551,#3339); +#3557=CARTESIAN_POINT('',(1.1065E1,4.17E0,-3.8E-1)); +#3558=LINE('',#3557,#3318); +#3559=CARTESIAN_POINT('',(1.1795E1,4.17E0,-3.8E-1)); +#3560=LINE('',#3559,#3322); +#3561=CARTESIAN_POINT('',(1.1795E1,3.45E0,-3.8E-1)); +#3562=LINE('',#3561,#3326); +#3563=CARTESIAN_POINT('',(1.1065E1,3.45E0,-3.8E-1)); +#3564=LINE('',#3563,#3330); +#3565=LINE('',#3557,#3333); +#3566=LINE('',#3559,#3335); +#3567=LINE('',#3561,#3337); +#3568=LINE('',#3563,#3339); +#3569=CARTESIAN_POINT('',(1.3605E1,4.17E0,-3.8E-1)); +#3570=LINE('',#3569,#3318); +#3571=CARTESIAN_POINT('',(1.4335E1,4.17E0,-3.8E-1)); +#3572=LINE('',#3571,#3322); +#3573=CARTESIAN_POINT('',(1.4335E1,3.45E0,-3.8E-1)); +#3574=LINE('',#3573,#3326); +#3575=CARTESIAN_POINT('',(1.3605E1,3.45E0,-3.8E-1)); +#3576=LINE('',#3575,#3330); +#3577=LINE('',#3569,#3333); +#3578=LINE('',#3571,#3335); +#3579=LINE('',#3573,#3337); +#3580=LINE('',#3575,#3339); +#3581=CARTESIAN_POINT('',(1.6145E1,4.17E0,-3.8E-1)); +#3582=LINE('',#3581,#3318); +#3583=CARTESIAN_POINT('',(1.6875E1,4.17E0,-3.8E-1)); +#3584=LINE('',#3583,#3322); +#3585=CARTESIAN_POINT('',(1.6875E1,3.45E0,-3.8E-1)); +#3586=LINE('',#3585,#3326); +#3587=CARTESIAN_POINT('',(1.6145E1,3.45E0,-3.8E-1)); +#3588=LINE('',#3587,#3330); +#3589=LINE('',#3581,#3333); +#3590=LINE('',#3583,#3335); +#3591=LINE('',#3585,#3337); +#3592=LINE('',#3587,#3339); +#3593=CARTESIAN_POINT('',(1.8685E1,4.17E0,-3.8E-1)); +#3594=LINE('',#3593,#3318); +#3595=CARTESIAN_POINT('',(1.9415E1,4.17E0,-3.8E-1)); +#3596=LINE('',#3595,#3322); +#3597=CARTESIAN_POINT('',(1.9415E1,3.45E0,-3.8E-1)); +#3598=LINE('',#3597,#3326); +#3599=CARTESIAN_POINT('',(1.8685E1,3.45E0,-3.8E-1)); +#3600=LINE('',#3599,#3330); +#3601=LINE('',#3593,#3333); +#3602=LINE('',#3595,#3335); +#3603=LINE('',#3597,#3337); +#3604=LINE('',#3599,#3339); +#3605=CARTESIAN_POINT('',(2.1225E1,4.17E0,-3.8E-1)); +#3606=LINE('',#3605,#3318); +#3607=CARTESIAN_POINT('',(2.1955E1,4.17E0,-3.8E-1)); +#3608=LINE('',#3607,#3322); +#3609=CARTESIAN_POINT('',(2.1955E1,3.45E0,-3.8E-1)); +#3610=LINE('',#3609,#3326); +#3611=CARTESIAN_POINT('',(2.1225E1,3.45E0,-3.8E-1)); +#3612=LINE('',#3611,#3330); +#3613=LINE('',#3605,#3333); +#3614=LINE('',#3607,#3335); +#3615=LINE('',#3609,#3337); +#3616=LINE('',#3611,#3339); +#3617=CARTESIAN_POINT('',(2.3765E1,4.17E0,-3.8E-1)); +#3618=LINE('',#3617,#3318); +#3619=CARTESIAN_POINT('',(2.4495E1,4.17E0,-3.8E-1)); +#3620=LINE('',#3619,#3322); +#3621=CARTESIAN_POINT('',(2.4495E1,3.45E0,-3.8E-1)); +#3622=LINE('',#3621,#3326); +#3623=CARTESIAN_POINT('',(2.3765E1,3.45E0,-3.8E-1)); +#3624=LINE('',#3623,#3330); +#3625=LINE('',#3617,#3333); +#3626=LINE('',#3619,#3335); +#3627=LINE('',#3621,#3337); +#3628=LINE('',#3623,#3339); +#3629=CARTESIAN_POINT('',(2.6305E1,4.17E0,-3.8E-1)); +#3630=LINE('',#3629,#3318); +#3631=CARTESIAN_POINT('',(2.7035E1,4.17E0,-3.8E-1)); +#3632=LINE('',#3631,#3322); +#3633=CARTESIAN_POINT('',(2.7035E1,3.45E0,-3.8E-1)); +#3634=LINE('',#3633,#3326); +#3635=CARTESIAN_POINT('',(2.6305E1,3.45E0,-3.8E-1)); +#3636=LINE('',#3635,#3330); +#3637=LINE('',#3629,#3333); +#3638=LINE('',#3631,#3335); +#3639=LINE('',#3633,#3337); +#3640=LINE('',#3635,#3339); +#3641=CARTESIAN_POINT('',(2.8845E1,4.17E0,-3.8E-1)); +#3642=LINE('',#3641,#3318); +#3643=CARTESIAN_POINT('',(2.9575E1,4.17E0,-3.8E-1)); +#3644=LINE('',#3643,#3322); +#3645=CARTESIAN_POINT('',(2.9575E1,3.45E0,-3.8E-1)); +#3646=LINE('',#3645,#3326); +#3647=CARTESIAN_POINT('',(2.8845E1,3.45E0,-3.8E-1)); +#3648=LINE('',#3647,#3330); +#3649=LINE('',#3641,#3333); +#3650=LINE('',#3643,#3335); +#3651=LINE('',#3645,#3337); +#3652=LINE('',#3647,#3339); +#3653=CARTESIAN_POINT('',(3.1385E1,4.17E0,-3.8E-1)); +#3654=LINE('',#3653,#3318); +#3655=CARTESIAN_POINT('',(3.2115E1,4.17E0,-3.8E-1)); +#3656=LINE('',#3655,#3322); +#3657=CARTESIAN_POINT('',(3.2115E1,3.45E0,-3.8E-1)); +#3658=LINE('',#3657,#3326); +#3659=CARTESIAN_POINT('',(3.1385E1,3.45E0,-3.8E-1)); +#3660=LINE('',#3659,#3330); +#3661=LINE('',#3653,#3333); +#3662=LINE('',#3655,#3335); +#3663=LINE('',#3657,#3337); +#3664=LINE('',#3659,#3339); +#3665=CARTESIAN_POINT('',(3.3925E1,4.17E0,-3.8E-1)); +#3666=LINE('',#3665,#3318); +#3667=CARTESIAN_POINT('',(3.4655E1,4.17E0,-3.8E-1)); +#3668=LINE('',#3667,#3322); +#3669=CARTESIAN_POINT('',(3.4655E1,3.45E0,-3.8E-1)); +#3670=LINE('',#3669,#3326); +#3671=CARTESIAN_POINT('',(3.3925E1,3.45E0,-3.8E-1)); +#3672=LINE('',#3671,#3330); +#3673=LINE('',#3665,#3333); +#3674=LINE('',#3667,#3335); +#3675=LINE('',#3669,#3337); +#3676=LINE('',#3671,#3339); +#3677=CARTESIAN_POINT('',(3.6465E1,4.17E0,-3.8E-1)); +#3678=LINE('',#3677,#3318); +#3679=CARTESIAN_POINT('',(3.7195E1,4.17E0,-3.8E-1)); +#3680=LINE('',#3679,#3322); +#3681=CARTESIAN_POINT('',(3.7195E1,3.45E0,-3.8E-1)); +#3682=LINE('',#3681,#3326); +#3683=CARTESIAN_POINT('',(3.6465E1,3.45E0,-3.8E-1)); +#3684=LINE('',#3683,#3330); +#3685=LINE('',#3677,#3333); +#3686=LINE('',#3679,#3335); +#3687=LINE('',#3681,#3337); +#3688=LINE('',#3683,#3339); +#3689=CARTESIAN_POINT('',(3.9005E1,4.17E0,-3.8E-1)); +#3690=LINE('',#3689,#3318); +#3691=CARTESIAN_POINT('',(3.9735E1,4.17E0,-3.8E-1)); +#3692=LINE('',#3691,#3322); +#3693=CARTESIAN_POINT('',(3.9735E1,3.45E0,-3.8E-1)); +#3694=LINE('',#3693,#3326); +#3695=CARTESIAN_POINT('',(3.9005E1,3.45E0,-3.8E-1)); +#3696=LINE('',#3695,#3330); +#3697=LINE('',#3689,#3333); +#3698=LINE('',#3691,#3335); +#3699=LINE('',#3693,#3337); +#3700=LINE('',#3695,#3339); +#3701=CARTESIAN_POINT('',(-3.9735E1,1.63E0,-3.8E-1)); +#3702=LINE('',#3701,#3318); +#3703=CARTESIAN_POINT('',(-3.9005E1,1.63E0,-3.8E-1)); +#3704=LINE('',#3703,#3322); +#3705=CARTESIAN_POINT('',(-3.9005E1,9.1E-1,-3.8E-1)); +#3706=LINE('',#3705,#3326); +#3707=CARTESIAN_POINT('',(-3.9735E1,9.1E-1,-3.8E-1)); +#3708=LINE('',#3707,#3330); +#3709=LINE('',#3701,#3333); +#3710=LINE('',#3703,#3335); +#3711=LINE('',#3705,#3337); +#3712=LINE('',#3707,#3339); +#3713=CARTESIAN_POINT('',(-3.7195E1,1.63E0,-3.8E-1)); +#3714=LINE('',#3713,#3318); +#3715=CARTESIAN_POINT('',(-3.6465E1,1.63E0,-3.8E-1)); +#3716=LINE('',#3715,#3322); +#3717=CARTESIAN_POINT('',(-3.6465E1,9.1E-1,-3.8E-1)); +#3718=LINE('',#3717,#3326); +#3719=CARTESIAN_POINT('',(-3.7195E1,9.1E-1,-3.8E-1)); +#3720=LINE('',#3719,#3330); +#3721=LINE('',#3713,#3333); +#3722=LINE('',#3715,#3335); +#3723=LINE('',#3717,#3337); +#3724=LINE('',#3719,#3339); +#3725=CARTESIAN_POINT('',(-3.4655E1,1.63E0,-3.8E-1)); +#3726=LINE('',#3725,#3318); +#3727=CARTESIAN_POINT('',(-3.3925E1,1.63E0,-3.8E-1)); +#3728=LINE('',#3727,#3322); +#3729=CARTESIAN_POINT('',(-3.3925E1,9.1E-1,-3.8E-1)); +#3730=LINE('',#3729,#3326); +#3731=CARTESIAN_POINT('',(-3.4655E1,9.1E-1,-3.8E-1)); +#3732=LINE('',#3731,#3330); +#3733=LINE('',#3725,#3333); +#3734=LINE('',#3727,#3335); +#3735=LINE('',#3729,#3337); +#3736=LINE('',#3731,#3339); +#3737=CARTESIAN_POINT('',(-3.2115E1,1.63E0,-3.8E-1)); +#3738=LINE('',#3737,#3318); +#3739=CARTESIAN_POINT('',(-3.1385E1,1.63E0,-3.8E-1)); +#3740=LINE('',#3739,#3322); +#3741=CARTESIAN_POINT('',(-3.1385E1,9.1E-1,-3.8E-1)); +#3742=LINE('',#3741,#3326); +#3743=CARTESIAN_POINT('',(-3.2115E1,9.1E-1,-3.8E-1)); +#3744=LINE('',#3743,#3330); +#3745=LINE('',#3737,#3333); +#3746=LINE('',#3739,#3335); +#3747=LINE('',#3741,#3337); +#3748=LINE('',#3743,#3339); +#3749=CARTESIAN_POINT('',(-2.9575E1,1.63E0,-3.8E-1)); +#3750=LINE('',#3749,#3318); +#3751=CARTESIAN_POINT('',(-2.8845E1,1.63E0,-3.8E-1)); +#3752=LINE('',#3751,#3322); +#3753=CARTESIAN_POINT('',(-2.8845E1,9.1E-1,-3.8E-1)); +#3754=LINE('',#3753,#3326); +#3755=CARTESIAN_POINT('',(-2.9575E1,9.1E-1,-3.8E-1)); +#3756=LINE('',#3755,#3330); +#3757=LINE('',#3749,#3333); +#3758=LINE('',#3751,#3335); +#3759=LINE('',#3753,#3337); +#3760=LINE('',#3755,#3339); +#3761=CARTESIAN_POINT('',(-2.7035E1,1.63E0,-3.8E-1)); +#3762=LINE('',#3761,#3318); +#3763=CARTESIAN_POINT('',(-2.6305E1,1.63E0,-3.8E-1)); +#3764=LINE('',#3763,#3322); +#3765=CARTESIAN_POINT('',(-2.6305E1,9.1E-1,-3.8E-1)); +#3766=LINE('',#3765,#3326); +#3767=CARTESIAN_POINT('',(-2.7035E1,9.1E-1,-3.8E-1)); +#3768=LINE('',#3767,#3330); +#3769=LINE('',#3761,#3333); +#3770=LINE('',#3763,#3335); +#3771=LINE('',#3765,#3337); +#3772=LINE('',#3767,#3339); +#3773=CARTESIAN_POINT('',(-2.4495E1,1.63E0,-3.8E-1)); +#3774=LINE('',#3773,#3318); +#3775=CARTESIAN_POINT('',(-2.3765E1,1.63E0,-3.8E-1)); +#3776=LINE('',#3775,#3322); +#3777=CARTESIAN_POINT('',(-2.3765E1,9.1E-1,-3.8E-1)); +#3778=LINE('',#3777,#3326); +#3779=CARTESIAN_POINT('',(-2.4495E1,9.1E-1,-3.8E-1)); +#3780=LINE('',#3779,#3330); +#3781=LINE('',#3773,#3333); +#3782=LINE('',#3775,#3335); +#3783=LINE('',#3777,#3337); +#3784=LINE('',#3779,#3339); +#3785=CARTESIAN_POINT('',(-2.1955E1,1.63E0,-3.8E-1)); +#3786=LINE('',#3785,#3318); +#3787=CARTESIAN_POINT('',(-2.1225E1,1.63E0,-3.8E-1)); +#3788=LINE('',#3787,#3322); +#3789=CARTESIAN_POINT('',(-2.1225E1,9.1E-1,-3.8E-1)); +#3790=LINE('',#3789,#3326); +#3791=CARTESIAN_POINT('',(-2.1955E1,9.1E-1,-3.8E-1)); +#3792=LINE('',#3791,#3330); +#3793=LINE('',#3785,#3333); +#3794=LINE('',#3787,#3335); +#3795=LINE('',#3789,#3337); +#3796=LINE('',#3791,#3339); +#3797=CARTESIAN_POINT('',(-1.9415E1,1.63E0,-3.8E-1)); +#3798=LINE('',#3797,#3318); +#3799=CARTESIAN_POINT('',(-1.8685E1,1.63E0,-3.8E-1)); +#3800=LINE('',#3799,#3322); +#3801=CARTESIAN_POINT('',(-1.8685E1,9.1E-1,-3.8E-1)); +#3802=LINE('',#3801,#3326); +#3803=CARTESIAN_POINT('',(-1.9415E1,9.1E-1,-3.8E-1)); +#3804=LINE('',#3803,#3330); +#3805=LINE('',#3797,#3333); +#3806=LINE('',#3799,#3335); +#3807=LINE('',#3801,#3337); +#3808=LINE('',#3803,#3339); +#3809=CARTESIAN_POINT('',(-1.6875E1,1.63E0,-3.8E-1)); +#3810=LINE('',#3809,#3318); +#3811=CARTESIAN_POINT('',(-1.6145E1,1.63E0,-3.8E-1)); +#3812=LINE('',#3811,#3322); +#3813=CARTESIAN_POINT('',(-1.6145E1,9.1E-1,-3.8E-1)); +#3814=LINE('',#3813,#3326); +#3815=CARTESIAN_POINT('',(-1.6875E1,9.1E-1,-3.8E-1)); +#3816=LINE('',#3815,#3330); +#3817=LINE('',#3809,#3333); +#3818=LINE('',#3811,#3335); +#3819=LINE('',#3813,#3337); +#3820=LINE('',#3815,#3339); +#3821=CARTESIAN_POINT('',(-1.4335E1,1.63E0,-3.8E-1)); +#3822=LINE('',#3821,#3318); +#3823=CARTESIAN_POINT('',(-1.3605E1,1.63E0,-3.8E-1)); +#3824=LINE('',#3823,#3322); +#3825=CARTESIAN_POINT('',(-1.3605E1,9.1E-1,-3.8E-1)); +#3826=LINE('',#3825,#3326); +#3827=CARTESIAN_POINT('',(-1.4335E1,9.1E-1,-3.8E-1)); +#3828=LINE('',#3827,#3330); +#3829=LINE('',#3821,#3333); +#3830=LINE('',#3823,#3335); +#3831=LINE('',#3825,#3337); +#3832=LINE('',#3827,#3339); +#3833=CARTESIAN_POINT('',(-1.1795E1,1.63E0,-3.8E-1)); +#3834=LINE('',#3833,#3318); +#3835=CARTESIAN_POINT('',(-1.1065E1,1.63E0,-3.8E-1)); +#3836=LINE('',#3835,#3322); +#3837=CARTESIAN_POINT('',(-1.1065E1,9.1E-1,-3.8E-1)); +#3838=LINE('',#3837,#3326); +#3839=CARTESIAN_POINT('',(-1.1795E1,9.1E-1,-3.8E-1)); +#3840=LINE('',#3839,#3330); +#3841=LINE('',#3833,#3333); +#3842=LINE('',#3835,#3335); +#3843=LINE('',#3837,#3337); +#3844=LINE('',#3839,#3339); +#3845=CARTESIAN_POINT('',(-9.255E0,1.63E0,-3.8E-1)); +#3846=LINE('',#3845,#3318); +#3847=CARTESIAN_POINT('',(-8.525E0,1.63E0,-3.8E-1)); +#3848=LINE('',#3847,#3322); +#3849=CARTESIAN_POINT('',(-8.525E0,9.1E-1,-3.8E-1)); +#3850=LINE('',#3849,#3326); +#3851=CARTESIAN_POINT('',(-9.255E0,9.1E-1,-3.8E-1)); +#3852=LINE('',#3851,#3330); +#3853=LINE('',#3845,#3333); +#3854=LINE('',#3847,#3335); +#3855=LINE('',#3849,#3337); +#3856=LINE('',#3851,#3339); +#3857=CARTESIAN_POINT('',(-6.715E0,1.63E0,-3.8E-1)); +#3858=LINE('',#3857,#3318); +#3859=CARTESIAN_POINT('',(-5.985E0,1.63E0,-3.8E-1)); +#3860=LINE('',#3859,#3322); +#3861=CARTESIAN_POINT('',(-5.985E0,9.1E-1,-3.8E-1)); +#3862=LINE('',#3861,#3326); +#3863=CARTESIAN_POINT('',(-6.715E0,9.1E-1,-3.8E-1)); +#3864=LINE('',#3863,#3330); +#3865=LINE('',#3857,#3333); +#3866=LINE('',#3859,#3335); +#3867=LINE('',#3861,#3337); +#3868=LINE('',#3863,#3339); +#3869=CARTESIAN_POINT('',(-4.175E0,1.63E0,-3.8E-1)); +#3870=LINE('',#3869,#3318); +#3871=CARTESIAN_POINT('',(-3.445E0,1.63E0,-3.8E-1)); +#3872=LINE('',#3871,#3322); +#3873=CARTESIAN_POINT('',(-3.445E0,9.1E-1,-3.8E-1)); +#3874=LINE('',#3873,#3326); +#3875=CARTESIAN_POINT('',(-4.175E0,9.1E-1,-3.8E-1)); +#3876=LINE('',#3875,#3330); +#3877=LINE('',#3869,#3333); +#3878=LINE('',#3871,#3335); +#3879=LINE('',#3873,#3337); +#3880=LINE('',#3875,#3339); +#3881=CARTESIAN_POINT('',(-1.635E0,1.63E0,-3.8E-1)); +#3882=LINE('',#3881,#3318); +#3883=CARTESIAN_POINT('',(-9.05E-1,1.63E0,-3.8E-1)); +#3884=LINE('',#3883,#3322); +#3885=CARTESIAN_POINT('',(-9.05E-1,9.1E-1,-3.8E-1)); +#3886=LINE('',#3885,#3326); +#3887=CARTESIAN_POINT('',(-1.635E0,9.1E-1,-3.8E-1)); +#3888=LINE('',#3887,#3330); +#3889=LINE('',#3881,#3333); +#3890=LINE('',#3883,#3335); +#3891=LINE('',#3885,#3337); +#3892=LINE('',#3887,#3339); +#3893=CARTESIAN_POINT('',(9.05E-1,1.63E0,-3.8E-1)); +#3894=LINE('',#3893,#3318); +#3895=CARTESIAN_POINT('',(1.635E0,1.63E0,-3.8E-1)); +#3896=LINE('',#3895,#3322); +#3897=CARTESIAN_POINT('',(1.635E0,9.1E-1,-3.8E-1)); +#3898=LINE('',#3897,#3326); +#3899=CARTESIAN_POINT('',(9.05E-1,9.1E-1,-3.8E-1)); +#3900=LINE('',#3899,#3330); +#3901=LINE('',#3893,#3333); +#3902=LINE('',#3895,#3335); +#3903=LINE('',#3897,#3337); +#3904=LINE('',#3899,#3339); +#3905=CARTESIAN_POINT('',(3.445E0,1.63E0,-3.8E-1)); +#3906=LINE('',#3905,#3318); +#3907=CARTESIAN_POINT('',(4.175E0,1.63E0,-3.8E-1)); +#3908=LINE('',#3907,#3322); +#3909=CARTESIAN_POINT('',(4.175E0,9.1E-1,-3.8E-1)); +#3910=LINE('',#3909,#3326); +#3911=CARTESIAN_POINT('',(3.445E0,9.1E-1,-3.8E-1)); +#3912=LINE('',#3911,#3330); +#3913=LINE('',#3905,#3333); +#3914=LINE('',#3907,#3335); +#3915=LINE('',#3909,#3337); +#3916=LINE('',#3911,#3339); +#3917=CARTESIAN_POINT('',(5.985E0,1.63E0,-3.8E-1)); +#3918=LINE('',#3917,#3318); +#3919=CARTESIAN_POINT('',(6.715E0,1.63E0,-3.8E-1)); +#3920=LINE('',#3919,#3322); +#3921=CARTESIAN_POINT('',(6.715E0,9.1E-1,-3.8E-1)); +#3922=LINE('',#3921,#3326); +#3923=CARTESIAN_POINT('',(5.985E0,9.1E-1,-3.8E-1)); +#3924=LINE('',#3923,#3330); +#3925=LINE('',#3917,#3333); +#3926=LINE('',#3919,#3335); +#3927=LINE('',#3921,#3337); +#3928=LINE('',#3923,#3339); +#3929=CARTESIAN_POINT('',(8.525E0,1.63E0,-3.8E-1)); +#3930=LINE('',#3929,#3318); +#3931=CARTESIAN_POINT('',(9.255E0,1.63E0,-3.8E-1)); +#3932=LINE('',#3931,#3322); +#3933=CARTESIAN_POINT('',(9.255E0,9.1E-1,-3.8E-1)); +#3934=LINE('',#3933,#3326); +#3935=CARTESIAN_POINT('',(8.525E0,9.1E-1,-3.8E-1)); +#3936=LINE('',#3935,#3330); +#3937=LINE('',#3929,#3333); +#3938=LINE('',#3931,#3335); +#3939=LINE('',#3933,#3337); +#3940=LINE('',#3935,#3339); +#3941=CARTESIAN_POINT('',(1.1065E1,1.63E0,-3.8E-1)); +#3942=LINE('',#3941,#3318); +#3943=CARTESIAN_POINT('',(1.1795E1,1.63E0,-3.8E-1)); +#3944=LINE('',#3943,#3322); +#3945=CARTESIAN_POINT('',(1.1795E1,9.1E-1,-3.8E-1)); +#3946=LINE('',#3945,#3326); +#3947=CARTESIAN_POINT('',(1.1065E1,9.1E-1,-3.8E-1)); +#3948=LINE('',#3947,#3330); +#3949=LINE('',#3941,#3333); +#3950=LINE('',#3943,#3335); +#3951=LINE('',#3945,#3337); +#3952=LINE('',#3947,#3339); +#3953=CARTESIAN_POINT('',(1.3605E1,1.63E0,-3.8E-1)); +#3954=LINE('',#3953,#3318); +#3955=CARTESIAN_POINT('',(1.4335E1,1.63E0,-3.8E-1)); +#3956=LINE('',#3955,#3322); +#3957=CARTESIAN_POINT('',(1.4335E1,9.1E-1,-3.8E-1)); +#3958=LINE('',#3957,#3326); +#3959=CARTESIAN_POINT('',(1.3605E1,9.1E-1,-3.8E-1)); +#3960=LINE('',#3959,#3330); +#3961=LINE('',#3953,#3333); +#3962=LINE('',#3955,#3335); +#3963=LINE('',#3957,#3337); +#3964=LINE('',#3959,#3339); +#3965=CARTESIAN_POINT('',(1.6145E1,1.63E0,-3.8E-1)); +#3966=LINE('',#3965,#3318); +#3967=CARTESIAN_POINT('',(1.6875E1,1.63E0,-3.8E-1)); +#3968=LINE('',#3967,#3322); +#3969=CARTESIAN_POINT('',(1.6875E1,9.1E-1,-3.8E-1)); +#3970=LINE('',#3969,#3326); +#3971=CARTESIAN_POINT('',(1.6145E1,9.1E-1,-3.8E-1)); +#3972=LINE('',#3971,#3330); +#3973=LINE('',#3965,#3333); +#3974=LINE('',#3967,#3335); +#3975=LINE('',#3969,#3337); +#3976=LINE('',#3971,#3339); +#3977=CARTESIAN_POINT('',(1.8685E1,1.63E0,-3.8E-1)); +#3978=LINE('',#3977,#3318); +#3979=CARTESIAN_POINT('',(1.9415E1,1.63E0,-3.8E-1)); +#3980=LINE('',#3979,#3322); +#3981=CARTESIAN_POINT('',(1.9415E1,9.1E-1,-3.8E-1)); +#3982=LINE('',#3981,#3326); +#3983=CARTESIAN_POINT('',(1.8685E1,9.1E-1,-3.8E-1)); +#3984=LINE('',#3983,#3330); +#3985=LINE('',#3977,#3333); +#3986=LINE('',#3979,#3335); +#3987=LINE('',#3981,#3337); +#3988=LINE('',#3983,#3339); +#3989=CARTESIAN_POINT('',(2.1225E1,1.63E0,-3.8E-1)); +#3990=LINE('',#3989,#3318); +#3991=CARTESIAN_POINT('',(2.1955E1,1.63E0,-3.8E-1)); +#3992=LINE('',#3991,#3322); +#3993=CARTESIAN_POINT('',(2.1955E1,9.1E-1,-3.8E-1)); +#3994=LINE('',#3993,#3326); +#3995=CARTESIAN_POINT('',(2.1225E1,9.1E-1,-3.8E-1)); +#3996=LINE('',#3995,#3330); +#3997=LINE('',#3989,#3333); +#3998=LINE('',#3991,#3335); +#3999=LINE('',#3993,#3337); +#4000=LINE('',#3995,#3339); +#4001=CARTESIAN_POINT('',(2.3765E1,1.63E0,-3.8E-1)); +#4002=LINE('',#4001,#3318); +#4003=CARTESIAN_POINT('',(2.4495E1,1.63E0,-3.8E-1)); +#4004=LINE('',#4003,#3322); +#4005=CARTESIAN_POINT('',(2.4495E1,9.1E-1,-3.8E-1)); +#4006=LINE('',#4005,#3326); +#4007=CARTESIAN_POINT('',(2.3765E1,9.1E-1,-3.8E-1)); +#4008=LINE('',#4007,#3330); +#4009=LINE('',#4001,#3333); +#4010=LINE('',#4003,#3335); +#4011=LINE('',#4005,#3337); +#4012=LINE('',#4007,#3339); +#4013=CARTESIAN_POINT('',(2.6305E1,1.63E0,-3.8E-1)); +#4014=LINE('',#4013,#3318); +#4015=CARTESIAN_POINT('',(2.7035E1,1.63E0,-3.8E-1)); +#4016=LINE('',#4015,#3322); +#4017=CARTESIAN_POINT('',(2.7035E1,9.1E-1,-3.8E-1)); +#4018=LINE('',#4017,#3326); +#4019=CARTESIAN_POINT('',(2.6305E1,9.1E-1,-3.8E-1)); +#4020=LINE('',#4019,#3330); +#4021=LINE('',#4013,#3333); +#4022=LINE('',#4015,#3335); +#4023=LINE('',#4017,#3337); +#4024=LINE('',#4019,#3339); +#4025=CARTESIAN_POINT('',(2.8845E1,1.63E0,-3.8E-1)); +#4026=LINE('',#4025,#3318); +#4027=CARTESIAN_POINT('',(2.9575E1,1.63E0,-3.8E-1)); +#4028=LINE('',#4027,#3322); +#4029=CARTESIAN_POINT('',(2.9575E1,9.1E-1,-3.8E-1)); +#4030=LINE('',#4029,#3326); +#4031=CARTESIAN_POINT('',(2.8845E1,9.1E-1,-3.8E-1)); +#4032=LINE('',#4031,#3330); +#4033=LINE('',#4025,#3333); +#4034=LINE('',#4027,#3335); +#4035=LINE('',#4029,#3337); +#4036=LINE('',#4031,#3339); +#4037=CARTESIAN_POINT('',(3.1385E1,1.63E0,-3.8E-1)); +#4038=LINE('',#4037,#3318); +#4039=CARTESIAN_POINT('',(3.2115E1,1.63E0,-3.8E-1)); +#4040=LINE('',#4039,#3322); +#4041=CARTESIAN_POINT('',(3.2115E1,9.1E-1,-3.8E-1)); +#4042=LINE('',#4041,#3326); +#4043=CARTESIAN_POINT('',(3.1385E1,9.1E-1,-3.8E-1)); +#4044=LINE('',#4043,#3330); +#4045=LINE('',#4037,#3333); +#4046=LINE('',#4039,#3335); +#4047=LINE('',#4041,#3337); +#4048=LINE('',#4043,#3339); +#4049=CARTESIAN_POINT('',(3.3925E1,1.63E0,-3.8E-1)); +#4050=LINE('',#4049,#3318); +#4051=CARTESIAN_POINT('',(3.4655E1,1.63E0,-3.8E-1)); +#4052=LINE('',#4051,#3322); +#4053=CARTESIAN_POINT('',(3.4655E1,9.1E-1,-3.8E-1)); +#4054=LINE('',#4053,#3326); +#4055=CARTESIAN_POINT('',(3.3925E1,9.1E-1,-3.8E-1)); +#4056=LINE('',#4055,#3330); +#4057=LINE('',#4049,#3333); +#4058=LINE('',#4051,#3335); +#4059=LINE('',#4053,#3337); +#4060=LINE('',#4055,#3339); +#4061=CARTESIAN_POINT('',(3.6465E1,1.63E0,-3.8E-1)); +#4062=LINE('',#4061,#3318); +#4063=CARTESIAN_POINT('',(3.7195E1,1.63E0,-3.8E-1)); +#4064=LINE('',#4063,#3322); +#4065=CARTESIAN_POINT('',(3.7195E1,9.1E-1,-3.8E-1)); +#4066=LINE('',#4065,#3326); +#4067=CARTESIAN_POINT('',(3.6465E1,9.1E-1,-3.8E-1)); +#4068=LINE('',#4067,#3330); +#4069=LINE('',#4061,#3333); +#4070=LINE('',#4063,#3335); +#4071=LINE('',#4065,#3337); +#4072=LINE('',#4067,#3339); +#4073=CARTESIAN_POINT('',(3.9005E1,1.63E0,-3.8E-1)); +#4074=LINE('',#4073,#3318); +#4075=CARTESIAN_POINT('',(3.9735E1,1.63E0,-3.8E-1)); +#4076=LINE('',#4075,#3322); +#4077=CARTESIAN_POINT('',(3.9735E1,9.1E-1,-3.8E-1)); +#4078=LINE('',#4077,#3326); +#4079=CARTESIAN_POINT('',(3.9005E1,9.1E-1,-3.8E-1)); +#4080=LINE('',#4079,#3330); +#4081=LINE('',#4073,#3333); +#4082=LINE('',#4075,#3335); +#4083=LINE('',#4077,#3337); +#4084=LINE('',#4079,#3339); +#4085=CARTESIAN_POINT('',(-1.9415E1,-9.1E-1,-3.8E-1)); +#4086=LINE('',#4085,#3318); +#4087=CARTESIAN_POINT('',(-1.8685E1,-9.1E-1,-3.8E-1)); +#4088=LINE('',#4087,#3322); +#4089=CARTESIAN_POINT('',(-1.8685E1,-1.63E0,-3.8E-1)); +#4090=LINE('',#4089,#3326); +#4091=CARTESIAN_POINT('',(-1.9415E1,-1.63E0,-3.8E-1)); +#4092=LINE('',#4091,#3330); +#4093=LINE('',#4085,#3333); +#4094=LINE('',#4087,#3335); +#4095=LINE('',#4089,#3337); +#4096=LINE('',#4091,#3339); +#4097=CARTESIAN_POINT('',(-1.6875E1,-9.1E-1,-3.8E-1)); +#4098=LINE('',#4097,#3318); +#4099=CARTESIAN_POINT('',(-1.6145E1,-9.1E-1,-3.8E-1)); +#4100=LINE('',#4099,#3322); +#4101=CARTESIAN_POINT('',(-1.6145E1,-1.63E0,-3.8E-1)); +#4102=LINE('',#4101,#3326); +#4103=CARTESIAN_POINT('',(-1.6875E1,-1.63E0,-3.8E-1)); +#4104=LINE('',#4103,#3330); +#4105=LINE('',#4097,#3333); +#4106=LINE('',#4099,#3335); +#4107=LINE('',#4101,#3337); +#4108=LINE('',#4103,#3339); +#4109=CARTESIAN_POINT('',(-1.4335E1,-9.1E-1,-3.8E-1)); +#4110=LINE('',#4109,#3318); +#4111=CARTESIAN_POINT('',(-1.3605E1,-9.1E-1,-3.8E-1)); +#4112=LINE('',#4111,#3322); +#4113=CARTESIAN_POINT('',(-1.3605E1,-1.63E0,-3.8E-1)); +#4114=LINE('',#4113,#3326); +#4115=CARTESIAN_POINT('',(-1.4335E1,-1.63E0,-3.8E-1)); +#4116=LINE('',#4115,#3330); +#4117=LINE('',#4109,#3333); +#4118=LINE('',#4111,#3335); +#4119=LINE('',#4113,#3337); +#4120=LINE('',#4115,#3339); +#4121=CARTESIAN_POINT('',(-1.1795E1,-9.1E-1,-3.8E-1)); +#4122=LINE('',#4121,#3318); +#4123=CARTESIAN_POINT('',(-1.1065E1,-9.1E-1,-3.8E-1)); +#4124=LINE('',#4123,#3322); +#4125=CARTESIAN_POINT('',(-1.1065E1,-1.63E0,-3.8E-1)); +#4126=LINE('',#4125,#3326); +#4127=CARTESIAN_POINT('',(-1.1795E1,-1.63E0,-3.8E-1)); +#4128=LINE('',#4127,#3330); +#4129=LINE('',#4121,#3333); +#4130=LINE('',#4123,#3335); +#4131=LINE('',#4125,#3337); +#4132=LINE('',#4127,#3339); +#4133=CARTESIAN_POINT('',(-9.255E0,-9.1E-1,-3.8E-1)); +#4134=LINE('',#4133,#3318); +#4135=CARTESIAN_POINT('',(-8.525E0,-9.1E-1,-3.8E-1)); +#4136=LINE('',#4135,#3322); +#4137=CARTESIAN_POINT('',(-8.525E0,-1.63E0,-3.8E-1)); +#4138=LINE('',#4137,#3326); +#4139=CARTESIAN_POINT('',(-9.255E0,-1.63E0,-3.8E-1)); +#4140=LINE('',#4139,#3330); +#4141=LINE('',#4133,#3333); +#4142=LINE('',#4135,#3335); +#4143=LINE('',#4137,#3337); +#4144=LINE('',#4139,#3339); +#4145=CARTESIAN_POINT('',(-6.715E0,-9.1E-1,-3.8E-1)); +#4146=LINE('',#4145,#3318); +#4147=CARTESIAN_POINT('',(-5.985E0,-9.1E-1,-3.8E-1)); +#4148=LINE('',#4147,#3322); +#4149=CARTESIAN_POINT('',(-5.985E0,-1.63E0,-3.8E-1)); +#4150=LINE('',#4149,#3326); +#4151=CARTESIAN_POINT('',(-6.715E0,-1.63E0,-3.8E-1)); +#4152=LINE('',#4151,#3330); +#4153=LINE('',#4145,#3333); +#4154=LINE('',#4147,#3335); +#4155=LINE('',#4149,#3337); +#4156=LINE('',#4151,#3339); +#4157=CARTESIAN_POINT('',(-4.175E0,-9.1E-1,-3.8E-1)); +#4158=LINE('',#4157,#3318); +#4159=CARTESIAN_POINT('',(-3.445E0,-9.1E-1,-3.8E-1)); +#4160=LINE('',#4159,#3322); +#4161=CARTESIAN_POINT('',(-3.445E0,-1.63E0,-3.8E-1)); +#4162=LINE('',#4161,#3326); +#4163=CARTESIAN_POINT('',(-4.175E0,-1.63E0,-3.8E-1)); +#4164=LINE('',#4163,#3330); +#4165=LINE('',#4157,#3333); +#4166=LINE('',#4159,#3335); +#4167=LINE('',#4161,#3337); +#4168=LINE('',#4163,#3339); +#4169=CARTESIAN_POINT('',(-1.635E0,-9.1E-1,-3.8E-1)); +#4170=LINE('',#4169,#3318); +#4171=CARTESIAN_POINT('',(-9.05E-1,-9.1E-1,-3.8E-1)); +#4172=LINE('',#4171,#3322); +#4173=CARTESIAN_POINT('',(-9.05E-1,-1.63E0,-3.8E-1)); +#4174=LINE('',#4173,#3326); +#4175=CARTESIAN_POINT('',(-1.635E0,-1.63E0,-3.8E-1)); +#4176=LINE('',#4175,#3330); +#4177=LINE('',#4169,#3333); +#4178=LINE('',#4171,#3335); +#4179=LINE('',#4173,#3337); +#4180=LINE('',#4175,#3339); +#4181=CARTESIAN_POINT('',(9.05E-1,-9.1E-1,-3.8E-1)); +#4182=LINE('',#4181,#3318); +#4183=CARTESIAN_POINT('',(1.635E0,-9.1E-1,-3.8E-1)); +#4184=LINE('',#4183,#3322); +#4185=CARTESIAN_POINT('',(1.635E0,-1.63E0,-3.8E-1)); +#4186=LINE('',#4185,#3326); +#4187=CARTESIAN_POINT('',(9.05E-1,-1.63E0,-3.8E-1)); +#4188=LINE('',#4187,#3330); +#4189=LINE('',#4181,#3333); +#4190=LINE('',#4183,#3335); +#4191=LINE('',#4185,#3337); +#4192=LINE('',#4187,#3339); +#4193=CARTESIAN_POINT('',(3.445E0,-9.1E-1,-3.8E-1)); +#4194=LINE('',#4193,#3318); +#4195=CARTESIAN_POINT('',(4.175E0,-9.1E-1,-3.8E-1)); +#4196=LINE('',#4195,#3322); +#4197=CARTESIAN_POINT('',(4.175E0,-1.63E0,-3.8E-1)); +#4198=LINE('',#4197,#3326); +#4199=CARTESIAN_POINT('',(3.445E0,-1.63E0,-3.8E-1)); +#4200=LINE('',#4199,#3330); +#4201=LINE('',#4193,#3333); +#4202=LINE('',#4195,#3335); +#4203=LINE('',#4197,#3337); +#4204=LINE('',#4199,#3339); +#4205=CARTESIAN_POINT('',(5.985E0,-9.1E-1,-3.8E-1)); +#4206=LINE('',#4205,#3318); +#4207=CARTESIAN_POINT('',(6.715E0,-9.1E-1,-3.8E-1)); +#4208=LINE('',#4207,#3322); +#4209=CARTESIAN_POINT('',(6.715E0,-1.63E0,-3.8E-1)); +#4210=LINE('',#4209,#3326); +#4211=CARTESIAN_POINT('',(5.985E0,-1.63E0,-3.8E-1)); +#4212=LINE('',#4211,#3330); +#4213=LINE('',#4205,#3333); +#4214=LINE('',#4207,#3335); +#4215=LINE('',#4209,#3337); +#4216=LINE('',#4211,#3339); +#4217=CARTESIAN_POINT('',(8.525E0,-9.1E-1,-3.8E-1)); +#4218=LINE('',#4217,#3318); +#4219=CARTESIAN_POINT('',(9.255E0,-9.1E-1,-3.8E-1)); +#4220=LINE('',#4219,#3322); +#4221=CARTESIAN_POINT('',(9.255E0,-1.63E0,-3.8E-1)); +#4222=LINE('',#4221,#3326); +#4223=CARTESIAN_POINT('',(8.525E0,-1.63E0,-3.8E-1)); +#4224=LINE('',#4223,#3330); +#4225=LINE('',#4217,#3333); +#4226=LINE('',#4219,#3335); +#4227=LINE('',#4221,#3337); +#4228=LINE('',#4223,#3339); +#4229=CARTESIAN_POINT('',(1.1065E1,-9.1E-1,-3.8E-1)); +#4230=LINE('',#4229,#3318); +#4231=CARTESIAN_POINT('',(1.1795E1,-9.1E-1,-3.8E-1)); +#4232=LINE('',#4231,#3322); +#4233=CARTESIAN_POINT('',(1.1795E1,-1.63E0,-3.8E-1)); +#4234=LINE('',#4233,#3326); +#4235=CARTESIAN_POINT('',(1.1065E1,-1.63E0,-3.8E-1)); +#4236=LINE('',#4235,#3330); +#4237=LINE('',#4229,#3333); +#4238=LINE('',#4231,#3335); +#4239=LINE('',#4233,#3337); +#4240=LINE('',#4235,#3339); +#4241=CARTESIAN_POINT('',(1.3605E1,-9.1E-1,-3.8E-1)); +#4242=LINE('',#4241,#3318); +#4243=CARTESIAN_POINT('',(1.4335E1,-9.1E-1,-3.8E-1)); +#4244=LINE('',#4243,#3322); +#4245=CARTESIAN_POINT('',(1.4335E1,-1.63E0,-3.8E-1)); +#4246=LINE('',#4245,#3326); +#4247=CARTESIAN_POINT('',(1.3605E1,-1.63E0,-3.8E-1)); +#4248=LINE('',#4247,#3330); +#4249=LINE('',#4241,#3333); +#4250=LINE('',#4243,#3335); +#4251=LINE('',#4245,#3337); +#4252=LINE('',#4247,#3339); +#4253=CARTESIAN_POINT('',(1.6145E1,-9.1E-1,-3.8E-1)); +#4254=LINE('',#4253,#3318); +#4255=CARTESIAN_POINT('',(1.6875E1,-9.1E-1,-3.8E-1)); +#4256=LINE('',#4255,#3322); +#4257=CARTESIAN_POINT('',(1.6875E1,-1.63E0,-3.8E-1)); +#4258=LINE('',#4257,#3326); +#4259=CARTESIAN_POINT('',(1.6145E1,-1.63E0,-3.8E-1)); +#4260=LINE('',#4259,#3330); +#4261=LINE('',#4253,#3333); +#4262=LINE('',#4255,#3335); +#4263=LINE('',#4257,#3337); +#4264=LINE('',#4259,#3339); +#4265=CARTESIAN_POINT('',(1.8685E1,-9.1E-1,-3.8E-1)); +#4266=LINE('',#4265,#3318); +#4267=CARTESIAN_POINT('',(1.9415E1,-9.1E-1,-3.8E-1)); +#4268=LINE('',#4267,#3322); +#4269=CARTESIAN_POINT('',(1.9415E1,-1.63E0,-3.8E-1)); +#4270=LINE('',#4269,#3326); +#4271=CARTESIAN_POINT('',(1.8685E1,-1.63E0,-3.8E-1)); +#4272=LINE('',#4271,#3330); +#4273=LINE('',#4265,#3333); +#4274=LINE('',#4267,#3335); +#4275=LINE('',#4269,#3337); +#4276=LINE('',#4271,#3339); +#4277=CARTESIAN_POINT('',(2.1225E1,-9.1E-1,-3.8E-1)); +#4278=LINE('',#4277,#3318); +#4279=CARTESIAN_POINT('',(2.1955E1,-9.1E-1,-3.8E-1)); +#4280=LINE('',#4279,#3322); +#4281=CARTESIAN_POINT('',(2.1955E1,-1.63E0,-3.8E-1)); +#4282=LINE('',#4281,#3326); +#4283=CARTESIAN_POINT('',(2.1225E1,-1.63E0,-3.8E-1)); +#4284=LINE('',#4283,#3330); +#4285=LINE('',#4277,#3333); +#4286=LINE('',#4279,#3335); +#4287=LINE('',#4281,#3337); +#4288=LINE('',#4283,#3339); +#4289=CARTESIAN_POINT('',(2.3765E1,-9.1E-1,-3.8E-1)); +#4290=LINE('',#4289,#3318); +#4291=CARTESIAN_POINT('',(2.4495E1,-9.1E-1,-3.8E-1)); +#4292=LINE('',#4291,#3322); +#4293=CARTESIAN_POINT('',(2.4495E1,-1.63E0,-3.8E-1)); +#4294=LINE('',#4293,#3326); +#4295=CARTESIAN_POINT('',(2.3765E1,-1.63E0,-3.8E-1)); +#4296=LINE('',#4295,#3330); +#4297=LINE('',#4289,#3333); +#4298=LINE('',#4291,#3335); +#4299=LINE('',#4293,#3337); +#4300=LINE('',#4295,#3339); +#4301=CARTESIAN_POINT('',(2.6305E1,-9.1E-1,-3.8E-1)); +#4302=LINE('',#4301,#3318); +#4303=CARTESIAN_POINT('',(2.7035E1,-9.1E-1,-3.8E-1)); +#4304=LINE('',#4303,#3322); +#4305=CARTESIAN_POINT('',(2.7035E1,-1.63E0,-3.8E-1)); +#4306=LINE('',#4305,#3326); +#4307=CARTESIAN_POINT('',(2.6305E1,-1.63E0,-3.8E-1)); +#4308=LINE('',#4307,#3330); +#4309=LINE('',#4301,#3333); +#4310=LINE('',#4303,#3335); +#4311=LINE('',#4305,#3337); +#4312=LINE('',#4307,#3339); +#4313=CARTESIAN_POINT('',(-1.9415E1,-3.45E0,-3.8E-1)); +#4314=LINE('',#4313,#3318); +#4315=CARTESIAN_POINT('',(-1.8685E1,-3.45E0,-3.8E-1)); +#4316=LINE('',#4315,#3322); +#4317=CARTESIAN_POINT('',(-1.8685E1,-4.17E0,-3.8E-1)); +#4318=LINE('',#4317,#3326); +#4319=CARTESIAN_POINT('',(-1.9415E1,-4.17E0,-3.8E-1)); +#4320=LINE('',#4319,#3330); +#4321=LINE('',#4313,#3333); +#4322=LINE('',#4315,#3335); +#4323=LINE('',#4317,#3337); +#4324=LINE('',#4319,#3339); +#4325=CARTESIAN_POINT('',(-1.6875E1,-3.45E0,-3.8E-1)); +#4326=LINE('',#4325,#3318); +#4327=CARTESIAN_POINT('',(-1.6145E1,-3.45E0,-3.8E-1)); +#4328=LINE('',#4327,#3322); +#4329=CARTESIAN_POINT('',(-1.6145E1,-4.17E0,-3.8E-1)); +#4330=LINE('',#4329,#3326); +#4331=CARTESIAN_POINT('',(-1.6875E1,-4.17E0,-3.8E-1)); +#4332=LINE('',#4331,#3330); +#4333=LINE('',#4325,#3333); +#4334=LINE('',#4327,#3335); +#4335=LINE('',#4329,#3337); +#4336=LINE('',#4331,#3339); +#4337=CARTESIAN_POINT('',(-1.4335E1,-3.45E0,-3.8E-1)); +#4338=LINE('',#4337,#3318); +#4339=CARTESIAN_POINT('',(-1.3605E1,-3.45E0,-3.8E-1)); +#4340=LINE('',#4339,#3322); +#4341=CARTESIAN_POINT('',(-1.3605E1,-4.17E0,-3.8E-1)); +#4342=LINE('',#4341,#3326); +#4343=CARTESIAN_POINT('',(-1.4335E1,-4.17E0,-3.8E-1)); +#4344=LINE('',#4343,#3330); +#4345=LINE('',#4337,#3333); +#4346=LINE('',#4339,#3335); +#4347=LINE('',#4341,#3337); +#4348=LINE('',#4343,#3339); +#4349=CARTESIAN_POINT('',(-1.1795E1,-3.45E0,-3.8E-1)); +#4350=LINE('',#4349,#3318); +#4351=CARTESIAN_POINT('',(-1.1065E1,-3.45E0,-3.8E-1)); +#4352=LINE('',#4351,#3322); +#4353=CARTESIAN_POINT('',(-1.1065E1,-4.17E0,-3.8E-1)); +#4354=LINE('',#4353,#3326); +#4355=CARTESIAN_POINT('',(-1.1795E1,-4.17E0,-3.8E-1)); +#4356=LINE('',#4355,#3330); +#4357=LINE('',#4349,#3333); +#4358=LINE('',#4351,#3335); +#4359=LINE('',#4353,#3337); +#4360=LINE('',#4355,#3339); +#4361=CARTESIAN_POINT('',(-9.255E0,-3.45E0,-3.8E-1)); +#4362=LINE('',#4361,#3318); +#4363=CARTESIAN_POINT('',(-8.525E0,-3.45E0,-3.8E-1)); +#4364=LINE('',#4363,#3322); +#4365=CARTESIAN_POINT('',(-8.525E0,-4.17E0,-3.8E-1)); +#4366=LINE('',#4365,#3326); +#4367=CARTESIAN_POINT('',(-9.255E0,-4.17E0,-3.8E-1)); +#4368=LINE('',#4367,#3330); +#4369=LINE('',#4361,#3333); +#4370=LINE('',#4363,#3335); +#4371=LINE('',#4365,#3337); +#4372=LINE('',#4367,#3339); +#4373=CARTESIAN_POINT('',(-6.715E0,-3.45E0,-3.8E-1)); +#4374=LINE('',#4373,#3318); +#4375=CARTESIAN_POINT('',(-5.985E0,-3.45E0,-3.8E-1)); +#4376=LINE('',#4375,#3322); +#4377=CARTESIAN_POINT('',(-5.985E0,-4.17E0,-3.8E-1)); +#4378=LINE('',#4377,#3326); +#4379=CARTESIAN_POINT('',(-6.715E0,-4.17E0,-3.8E-1)); +#4380=LINE('',#4379,#3330); +#4381=LINE('',#4373,#3333); +#4382=LINE('',#4375,#3335); +#4383=LINE('',#4377,#3337); +#4384=LINE('',#4379,#3339); +#4385=CARTESIAN_POINT('',(-4.175E0,-3.45E0,-3.8E-1)); +#4386=LINE('',#4385,#3318); +#4387=CARTESIAN_POINT('',(-3.445E0,-3.45E0,-3.8E-1)); +#4388=LINE('',#4387,#3322); +#4389=CARTESIAN_POINT('',(-3.445E0,-4.17E0,-3.8E-1)); +#4390=LINE('',#4389,#3326); +#4391=CARTESIAN_POINT('',(-4.175E0,-4.17E0,-3.8E-1)); +#4392=LINE('',#4391,#3330); +#4393=LINE('',#4385,#3333); +#4394=LINE('',#4387,#3335); +#4395=LINE('',#4389,#3337); +#4396=LINE('',#4391,#3339); +#4397=CARTESIAN_POINT('',(-1.635E0,-3.45E0,-3.8E-1)); +#4398=LINE('',#4397,#3318); +#4399=CARTESIAN_POINT('',(-9.05E-1,-3.45E0,-3.8E-1)); +#4400=LINE('',#4399,#3322); +#4401=CARTESIAN_POINT('',(-9.05E-1,-4.17E0,-3.8E-1)); +#4402=LINE('',#4401,#3326); +#4403=CARTESIAN_POINT('',(-1.635E0,-4.17E0,-3.8E-1)); +#4404=LINE('',#4403,#3330); +#4405=LINE('',#4397,#3333); +#4406=LINE('',#4399,#3335); +#4407=LINE('',#4401,#3337); +#4408=LINE('',#4403,#3339); +#4409=CARTESIAN_POINT('',(9.05E-1,-3.45E0,-3.8E-1)); +#4410=LINE('',#4409,#3318); +#4411=CARTESIAN_POINT('',(1.635E0,-3.45E0,-3.8E-1)); +#4412=LINE('',#4411,#3322); +#4413=CARTESIAN_POINT('',(1.635E0,-4.17E0,-3.8E-1)); +#4414=LINE('',#4413,#3326); +#4415=CARTESIAN_POINT('',(9.05E-1,-4.17E0,-3.8E-1)); +#4416=LINE('',#4415,#3330); +#4417=LINE('',#4409,#3333); +#4418=LINE('',#4411,#3335); +#4419=LINE('',#4413,#3337); +#4420=LINE('',#4415,#3339); +#4421=CARTESIAN_POINT('',(3.445E0,-3.45E0,-3.8E-1)); +#4422=LINE('',#4421,#3318); +#4423=CARTESIAN_POINT('',(4.175E0,-3.45E0,-3.8E-1)); +#4424=LINE('',#4423,#3322); +#4425=CARTESIAN_POINT('',(4.175E0,-4.17E0,-3.8E-1)); +#4426=LINE('',#4425,#3326); +#4427=CARTESIAN_POINT('',(3.445E0,-4.17E0,-3.8E-1)); +#4428=LINE('',#4427,#3330); +#4429=LINE('',#4421,#3333); +#4430=LINE('',#4423,#3335); +#4431=LINE('',#4425,#3337); +#4432=LINE('',#4427,#3339); +#4433=CARTESIAN_POINT('',(5.985E0,-3.45E0,-3.8E-1)); +#4434=LINE('',#4433,#3318); +#4435=CARTESIAN_POINT('',(6.715E0,-3.45E0,-3.8E-1)); +#4436=LINE('',#4435,#3322); +#4437=CARTESIAN_POINT('',(6.715E0,-4.17E0,-3.8E-1)); +#4438=LINE('',#4437,#3326); +#4439=CARTESIAN_POINT('',(5.985E0,-4.17E0,-3.8E-1)); +#4440=LINE('',#4439,#3330); +#4441=LINE('',#4433,#3333); +#4442=LINE('',#4435,#3335); +#4443=LINE('',#4437,#3337); +#4444=LINE('',#4439,#3339); +#4445=CARTESIAN_POINT('',(8.525E0,-3.45E0,-3.8E-1)); +#4446=LINE('',#4445,#3318); +#4447=CARTESIAN_POINT('',(9.255E0,-3.45E0,-3.8E-1)); +#4448=LINE('',#4447,#3322); +#4449=CARTESIAN_POINT('',(9.255E0,-4.17E0,-3.8E-1)); +#4450=LINE('',#4449,#3326); +#4451=CARTESIAN_POINT('',(8.525E0,-4.17E0,-3.8E-1)); +#4452=LINE('',#4451,#3330); +#4453=LINE('',#4445,#3333); +#4454=LINE('',#4447,#3335); +#4455=LINE('',#4449,#3337); +#4456=LINE('',#4451,#3339); +#4457=CARTESIAN_POINT('',(1.1065E1,-3.45E0,-3.8E-1)); +#4458=LINE('',#4457,#3318); +#4459=CARTESIAN_POINT('',(1.1795E1,-3.45E0,-3.8E-1)); +#4460=LINE('',#4459,#3322); +#4461=CARTESIAN_POINT('',(1.1795E1,-4.17E0,-3.8E-1)); +#4462=LINE('',#4461,#3326); +#4463=CARTESIAN_POINT('',(1.1065E1,-4.17E0,-3.8E-1)); +#4464=LINE('',#4463,#3330); +#4465=LINE('',#4457,#3333); +#4466=LINE('',#4459,#3335); +#4467=LINE('',#4461,#3337); +#4468=LINE('',#4463,#3339); +#4469=CARTESIAN_POINT('',(1.3605E1,-3.45E0,-3.8E-1)); +#4470=LINE('',#4469,#3318); +#4471=CARTESIAN_POINT('',(1.4335E1,-3.45E0,-3.8E-1)); +#4472=LINE('',#4471,#3322); +#4473=CARTESIAN_POINT('',(1.4335E1,-4.17E0,-3.8E-1)); +#4474=LINE('',#4473,#3326); +#4475=CARTESIAN_POINT('',(1.3605E1,-4.17E0,-3.8E-1)); +#4476=LINE('',#4475,#3330); +#4477=LINE('',#4469,#3333); +#4478=LINE('',#4471,#3335); +#4479=LINE('',#4473,#3337); +#4480=LINE('',#4475,#3339); +#4481=CARTESIAN_POINT('',(1.6145E1,-3.45E0,-3.8E-1)); +#4482=LINE('',#4481,#3318); +#4483=CARTESIAN_POINT('',(1.6875E1,-3.45E0,-3.8E-1)); +#4484=LINE('',#4483,#3322); +#4485=CARTESIAN_POINT('',(1.6875E1,-4.17E0,-3.8E-1)); +#4486=LINE('',#4485,#3326); +#4487=CARTESIAN_POINT('',(1.6145E1,-4.17E0,-3.8E-1)); +#4488=LINE('',#4487,#3330); +#4489=LINE('',#4481,#3333); +#4490=LINE('',#4483,#3335); +#4491=LINE('',#4485,#3337); +#4492=LINE('',#4487,#3339); +#4493=CARTESIAN_POINT('',(1.8685E1,-3.45E0,-3.8E-1)); +#4494=LINE('',#4493,#3318); +#4495=CARTESIAN_POINT('',(1.9415E1,-3.45E0,-3.8E-1)); +#4496=LINE('',#4495,#3322); +#4497=CARTESIAN_POINT('',(1.9415E1,-4.17E0,-3.8E-1)); +#4498=LINE('',#4497,#3326); +#4499=CARTESIAN_POINT('',(1.8685E1,-4.17E0,-3.8E-1)); +#4500=LINE('',#4499,#3330); +#4501=LINE('',#4493,#3333); +#4502=LINE('',#4495,#3335); +#4503=LINE('',#4497,#3337); +#4504=LINE('',#4499,#3339); +#4505=CARTESIAN_POINT('',(2.1225E1,-3.45E0,-3.8E-1)); +#4506=LINE('',#4505,#3318); +#4507=CARTESIAN_POINT('',(2.1955E1,-3.45E0,-3.8E-1)); +#4508=LINE('',#4507,#3322); +#4509=CARTESIAN_POINT('',(2.1955E1,-4.17E0,-3.8E-1)); +#4510=LINE('',#4509,#3326); +#4511=CARTESIAN_POINT('',(2.1225E1,-4.17E0,-3.8E-1)); +#4512=LINE('',#4511,#3330); +#4513=LINE('',#4505,#3333); +#4514=LINE('',#4507,#3335); +#4515=LINE('',#4509,#3337); +#4516=LINE('',#4511,#3339); +#4517=CARTESIAN_POINT('',(2.3765E1,-3.45E0,-3.8E-1)); +#4518=LINE('',#4517,#3318); +#4519=CARTESIAN_POINT('',(2.4495E1,-3.45E0,-3.8E-1)); +#4520=LINE('',#4519,#3322); +#4521=CARTESIAN_POINT('',(2.4495E1,-4.17E0,-3.8E-1)); +#4522=LINE('',#4521,#3326); +#4523=CARTESIAN_POINT('',(2.3765E1,-4.17E0,-3.8E-1)); +#4524=LINE('',#4523,#3330); +#4525=LINE('',#4517,#3333); +#4526=LINE('',#4519,#3335); +#4527=LINE('',#4521,#3337); +#4528=LINE('',#4523,#3339); +#4529=CARTESIAN_POINT('',(2.6305E1,-3.45E0,-3.8E-1)); +#4530=LINE('',#4529,#3318); +#4531=CARTESIAN_POINT('',(2.7035E1,-3.45E0,-3.8E-1)); +#4532=LINE('',#4531,#3322); +#4533=CARTESIAN_POINT('',(2.7035E1,-4.17E0,-3.8E-1)); +#4534=LINE('',#4533,#3326); +#4535=CARTESIAN_POINT('',(2.6305E1,-4.17E0,-3.8E-1)); +#4536=LINE('',#4535,#3330); +#4537=LINE('',#4529,#3333); +#4538=LINE('',#4531,#3335); +#4539=LINE('',#4533,#3337); +#4540=LINE('',#4535,#3339); +#4541=CARTESIAN_POINT('',(2.8845E1,-3.45E0,-3.8E-1)); +#4542=LINE('',#4541,#3318); +#4543=CARTESIAN_POINT('',(2.9575E1,-3.45E0,-3.8E-1)); +#4544=LINE('',#4543,#3322); +#4545=CARTESIAN_POINT('',(2.9575E1,-4.17E0,-3.8E-1)); +#4546=LINE('',#4545,#3326); +#4547=CARTESIAN_POINT('',(2.8845E1,-4.17E0,-3.8E-1)); +#4548=LINE('',#4547,#3330); +#4549=LINE('',#4541,#3333); +#4550=LINE('',#4543,#3335); +#4551=LINE('',#4545,#3337); +#4552=LINE('',#4547,#3339); +#4553=VERTEX_POINT('',#74); +#4554=VERTEX_POINT('',#96); +#4555=VERTEX_POINT('',#93); +#4556=VERTEX_POINT('',#91); +#4557=VERTEX_POINT('',#88); +#4558=VERTEX_POINT('',#86); +#4559=VERTEX_POINT('',#82); +#4560=VERTEX_POINT('',#78); +#4561=VERTEX_POINT('',#944); +#4562=VERTEX_POINT('',#946); +#4563=VERTEX_POINT('',#959); +#4564=VERTEX_POINT('',#961); +#4565=VERTEX_POINT('',#952); +#4566=VERTEX_POINT('',#954); +#4567=VERTEX_POINT('',#940); +#4568=VERTEX_POINT('',#933); +#4569=VERTEX_POINT('',#930); +#4570=VERTEX_POINT('',#942); +#4571=CARTESIAN_POINT('',(4.08E1,3.55E-1,-1.105E1)); +#4572=VERTEX_POINT('',#4571); +#4573=VERTEX_POINT('',#938); +#4574=VERTEX_POINT('',#948); +#4575=VERTEX_POINT('',#950); +#4576=VERTEX_POINT('',#2113); +#4577=VERTEX_POINT('',#2111); +#4578=VERTEX_POINT('',#2104); +#4579=VERTEX_POINT('',#2106); +#4580=VERTEX_POINT('',#957); +#4581=CARTESIAN_POINT('',(3.064E1,-4.595E0,-1.105E1)); +#4582=VERTEX_POINT('',#4581); +#4583=VERTEX_POINT('',#926); +#4584=VERTEX_POINT('',#923); +#4585=VERTEX_POINT('',#1470); +#4586=VERTEX_POINT('',#2093); +#4587=VERTEX_POINT('',#2085); +#4588=VERTEX_POINT('',#2091); +#4589=VERTEX_POINT('',#2089); +#4590=VERTEX_POINT('',#2087); +#4591=VERTEX_POINT('',#1112); +#4592=VERTEX_POINT('',#2079); +#4593=VERTEX_POINT('',#1108); +#4594=VERTEX_POINT('',#1110); +#4595=VERTEX_POINT('',#1465); +#4596=VERTEX_POINT('',#2082); +#4597=VERTEX_POINT('',#2071); +#4598=VERTEX_POINT('',#2077); +#4599=VERTEX_POINT('',#2075); +#4600=VERTEX_POINT('',#2073); +#4601=VERTEX_POINT('',#1118); +#4602=VERTEX_POINT('',#2065); +#4603=VERTEX_POINT('',#1114); +#4604=VERTEX_POINT('',#1116); +#4605=VERTEX_POINT('',#1460); +#4606=VERTEX_POINT('',#2068); +#4607=VERTEX_POINT('',#2057); +#4608=VERTEX_POINT('',#2063); +#4609=VERTEX_POINT('',#2061); +#4610=VERTEX_POINT('',#2059); +#4611=VERTEX_POINT('',#1124); +#4612=VERTEX_POINT('',#2051); +#4613=VERTEX_POINT('',#1120); +#4614=VERTEX_POINT('',#1122); +#4615=VERTEX_POINT('',#1455); +#4616=VERTEX_POINT('',#2054); +#4617=VERTEX_POINT('',#2043); +#4618=VERTEX_POINT('',#2049); +#4619=VERTEX_POINT('',#2047); +#4620=VERTEX_POINT('',#2045); +#4621=VERTEX_POINT('',#1130); +#4622=VERTEX_POINT('',#2037); +#4623=VERTEX_POINT('',#1126); +#4624=VERTEX_POINT('',#1128); +#4625=VERTEX_POINT('',#1450); +#4626=VERTEX_POINT('',#2040); +#4627=VERTEX_POINT('',#2029); +#4628=VERTEX_POINT('',#2035); +#4629=VERTEX_POINT('',#2033); +#4630=VERTEX_POINT('',#2031); +#4631=VERTEX_POINT('',#1136); +#4632=VERTEX_POINT('',#2023); +#4633=VERTEX_POINT('',#1132); +#4634=VERTEX_POINT('',#1134); +#4635=VERTEX_POINT('',#1445); +#4636=VERTEX_POINT('',#2026); +#4637=VERTEX_POINT('',#2015); +#4638=VERTEX_POINT('',#2021); +#4639=VERTEX_POINT('',#2019); +#4640=VERTEX_POINT('',#2017); +#4641=VERTEX_POINT('',#1142); +#4642=VERTEX_POINT('',#2009); +#4643=VERTEX_POINT('',#1138); +#4644=VERTEX_POINT('',#1140); +#4645=VERTEX_POINT('',#1440); +#4646=VERTEX_POINT('',#2012); +#4647=VERTEX_POINT('',#2001); +#4648=VERTEX_POINT('',#2007); +#4649=VERTEX_POINT('',#2005); +#4650=VERTEX_POINT('',#2003); +#4651=VERTEX_POINT('',#1148); +#4652=VERTEX_POINT('',#1995); +#4653=VERTEX_POINT('',#1144); +#4654=VERTEX_POINT('',#1146); +#4655=VERTEX_POINT('',#1435); +#4656=VERTEX_POINT('',#1998); +#4657=VERTEX_POINT('',#1987); +#4658=VERTEX_POINT('',#1993); +#4659=VERTEX_POINT('',#1991); +#4660=VERTEX_POINT('',#1989); +#4661=VERTEX_POINT('',#1154); +#4662=VERTEX_POINT('',#1981); +#4663=VERTEX_POINT('',#1150); +#4664=VERTEX_POINT('',#1152); +#4665=VERTEX_POINT('',#1430); +#4666=VERTEX_POINT('',#1984); +#4667=VERTEX_POINT('',#1973); +#4668=VERTEX_POINT('',#1979); +#4669=VERTEX_POINT('',#1977); +#4670=VERTEX_POINT('',#1975); +#4671=VERTEX_POINT('',#965); +#4672=VERTEX_POINT('',#1967); +#4673=VERTEX_POINT('',#1156); +#4674=VERTEX_POINT('',#1158); +#4675=VERTEX_POINT('',#1424); +#4676=VERTEX_POINT('',#1970); +#4677=VERTEX_POINT('',#3309); +#4678=VERTEX_POINT('',#3315); +#4679=VERTEX_POINT('',#3313); +#4680=VERTEX_POINT('',#3311); +#4681=VERTEX_POINT('',#974); +#4682=VERTEX_POINT('',#3303); +#4683=VERTEX_POINT('',#969); +#4684=VERTEX_POINT('',#972); +#4685=VERTEX_POINT('',#1578); +#4686=VERTEX_POINT('',#3306); +#4687=VERTEX_POINT('',#3295); +#4688=VERTEX_POINT('',#3301); +#4689=VERTEX_POINT('',#3299); +#4690=VERTEX_POINT('',#3297); +#4691=VERTEX_POINT('',#984); +#4692=VERTEX_POINT('',#3289); +#4693=VERTEX_POINT('',#978); +#4694=VERTEX_POINT('',#980); +#4695=VERTEX_POINT('',#1573); +#4696=VERTEX_POINT('',#3292); +#4697=VERTEX_POINT('',#3281); +#4698=VERTEX_POINT('',#3287); +#4699=VERTEX_POINT('',#3285); +#4700=VERTEX_POINT('',#3283); +#4701=VERTEX_POINT('',#992); +#4702=VERTEX_POINT('',#3275); +#4703=VERTEX_POINT('',#988); +#4704=VERTEX_POINT('',#990); +#4705=VERTEX_POINT('',#1568); +#4706=VERTEX_POINT('',#3278); +#4707=VERTEX_POINT('',#3267); +#4708=VERTEX_POINT('',#3273); +#4709=VERTEX_POINT('',#3271); +#4710=VERTEX_POINT('',#3269); +#4711=VERTEX_POINT('',#998); +#4712=VERTEX_POINT('',#3261); +#4713=VERTEX_POINT('',#994); +#4714=VERTEX_POINT('',#996); +#4715=VERTEX_POINT('',#1563); +#4716=VERTEX_POINT('',#3264); +#4717=VERTEX_POINT('',#3253); +#4718=VERTEX_POINT('',#3259); +#4719=VERTEX_POINT('',#3257); +#4720=VERTEX_POINT('',#3255); +#4721=VERTEX_POINT('',#1004); +#4722=VERTEX_POINT('',#3247); +#4723=VERTEX_POINT('',#1000); +#4724=VERTEX_POINT('',#1002); +#4725=VERTEX_POINT('',#1558); +#4726=VERTEX_POINT('',#3250); +#4727=VERTEX_POINT('',#3239); +#4728=VERTEX_POINT('',#3245); +#4729=VERTEX_POINT('',#3243); +#4730=VERTEX_POINT('',#3241); +#4731=VERTEX_POINT('',#1010); +#4732=VERTEX_POINT('',#3233); +#4733=VERTEX_POINT('',#1006); +#4734=VERTEX_POINT('',#1008); +#4735=VERTEX_POINT('',#1553); +#4736=VERTEX_POINT('',#3236); +#4737=VERTEX_POINT('',#3225); +#4738=VERTEX_POINT('',#3231); +#4739=VERTEX_POINT('',#3229); +#4740=VERTEX_POINT('',#3227); +#4741=VERTEX_POINT('',#1016); +#4742=VERTEX_POINT('',#3219); +#4743=VERTEX_POINT('',#1012); +#4744=VERTEX_POINT('',#1014); +#4745=VERTEX_POINT('',#1548); +#4746=VERTEX_POINT('',#3222); +#4747=VERTEX_POINT('',#3211); +#4748=VERTEX_POINT('',#3217); +#4749=VERTEX_POINT('',#3215); +#4750=VERTEX_POINT('',#3213); +#4751=VERTEX_POINT('',#1022); +#4752=VERTEX_POINT('',#3205); +#4753=VERTEX_POINT('',#1018); +#4754=VERTEX_POINT('',#1020); +#4755=VERTEX_POINT('',#1543); +#4756=VERTEX_POINT('',#3208); +#4757=VERTEX_POINT('',#3197); +#4758=VERTEX_POINT('',#3203); +#4759=VERTEX_POINT('',#3201); +#4760=VERTEX_POINT('',#3199); +#4761=VERTEX_POINT('',#1028); +#4762=VERTEX_POINT('',#3191); +#4763=VERTEX_POINT('',#1024); +#4764=VERTEX_POINT('',#1026); +#4765=VERTEX_POINT('',#1538); +#4766=VERTEX_POINT('',#3194); +#4767=VERTEX_POINT('',#3183); +#4768=VERTEX_POINT('',#3189); +#4769=VERTEX_POINT('',#3187); +#4770=VERTEX_POINT('',#3185); +#4771=VERTEX_POINT('',#1034); +#4772=VERTEX_POINT('',#3177); +#4773=VERTEX_POINT('',#1030); +#4774=VERTEX_POINT('',#1032); +#4775=VERTEX_POINT('',#1533); +#4776=VERTEX_POINT('',#3180); +#4777=VERTEX_POINT('',#3169); +#4778=VERTEX_POINT('',#3175); +#4779=VERTEX_POINT('',#3173); +#4780=VERTEX_POINT('',#3171); +#4781=VERTEX_POINT('',#1040); +#4782=VERTEX_POINT('',#3163); +#4783=VERTEX_POINT('',#1036); +#4784=VERTEX_POINT('',#1038); +#4785=VERTEX_POINT('',#1528); +#4786=VERTEX_POINT('',#3166); +#4787=VERTEX_POINT('',#3155); +#4788=VERTEX_POINT('',#3161); +#4789=VERTEX_POINT('',#3159); +#4790=VERTEX_POINT('',#3157); +#4791=VERTEX_POINT('',#1046); +#4792=VERTEX_POINT('',#3149); +#4793=VERTEX_POINT('',#1042); +#4794=VERTEX_POINT('',#1044); +#4795=VERTEX_POINT('',#1523); +#4796=VERTEX_POINT('',#3152); +#4797=VERTEX_POINT('',#3141); +#4798=VERTEX_POINT('',#3147); +#4799=VERTEX_POINT('',#3145); +#4800=VERTEX_POINT('',#3143); +#4801=VERTEX_POINT('',#1052); +#4802=VERTEX_POINT('',#3135); +#4803=VERTEX_POINT('',#1048); +#4804=VERTEX_POINT('',#1050); +#4805=VERTEX_POINT('',#1518); +#4806=VERTEX_POINT('',#3138); +#4807=VERTEX_POINT('',#3127); +#4808=VERTEX_POINT('',#3133); +#4809=VERTEX_POINT('',#3131); +#4810=VERTEX_POINT('',#3129); +#4811=VERTEX_POINT('',#1058); +#4812=VERTEX_POINT('',#3121); +#4813=VERTEX_POINT('',#1054); +#4814=VERTEX_POINT('',#1056); +#4815=VERTEX_POINT('',#1513); +#4816=VERTEX_POINT('',#3124); +#4817=VERTEX_POINT('',#3113); +#4818=VERTEX_POINT('',#3119); +#4819=VERTEX_POINT('',#3117); +#4820=VERTEX_POINT('',#3115); +#4821=VERTEX_POINT('',#1064); +#4822=VERTEX_POINT('',#3107); +#4823=VERTEX_POINT('',#1060); +#4824=VERTEX_POINT('',#1062); +#4825=VERTEX_POINT('',#1508); +#4826=VERTEX_POINT('',#3110); +#4827=VERTEX_POINT('',#3099); +#4828=VERTEX_POINT('',#3105); +#4829=VERTEX_POINT('',#3103); +#4830=VERTEX_POINT('',#3101); +#4831=VERTEX_POINT('',#1070); +#4832=VERTEX_POINT('',#3093); +#4833=VERTEX_POINT('',#1066); +#4834=VERTEX_POINT('',#1068); +#4835=VERTEX_POINT('',#1503); +#4836=VERTEX_POINT('',#3096); +#4837=VERTEX_POINT('',#3085); +#4838=VERTEX_POINT('',#3091); +#4839=VERTEX_POINT('',#3089); +#4840=VERTEX_POINT('',#3087); +#4841=VERTEX_POINT('',#1076); +#4842=VERTEX_POINT('',#3079); +#4843=VERTEX_POINT('',#1072); +#4844=VERTEX_POINT('',#1074); +#4845=VERTEX_POINT('',#1498); +#4846=VERTEX_POINT('',#3082); +#4847=VERTEX_POINT('',#3071); +#4848=VERTEX_POINT('',#3077); +#4849=VERTEX_POINT('',#3075); +#4850=VERTEX_POINT('',#3073); +#4851=VERTEX_POINT('',#1082); +#4852=VERTEX_POINT('',#3065); +#4853=VERTEX_POINT('',#1078); +#4854=VERTEX_POINT('',#1080); +#4855=VERTEX_POINT('',#1493); +#4856=VERTEX_POINT('',#3068); +#4857=VERTEX_POINT('',#3057); +#4858=VERTEX_POINT('',#3063); +#4859=VERTEX_POINT('',#3061); +#4860=VERTEX_POINT('',#3059); +#4861=VERTEX_POINT('',#1088); +#4862=VERTEX_POINT('',#3051); +#4863=VERTEX_POINT('',#1084); +#4864=VERTEX_POINT('',#1086); +#4865=VERTEX_POINT('',#1488); +#4866=VERTEX_POINT('',#3054); +#4867=VERTEX_POINT('',#3043); +#4868=VERTEX_POINT('',#3049); +#4869=VERTEX_POINT('',#3047); +#4870=VERTEX_POINT('',#3045); +#4871=VERTEX_POINT('',#1094); +#4872=VERTEX_POINT('',#3037); +#4873=VERTEX_POINT('',#1090); +#4874=VERTEX_POINT('',#1092); +#4875=VERTEX_POINT('',#1483); +#4876=VERTEX_POINT('',#3040); +#4877=VERTEX_POINT('',#3029); +#4878=VERTEX_POINT('',#3035); +#4879=VERTEX_POINT('',#3033); +#4880=VERTEX_POINT('',#3031); +#4881=VERTEX_POINT('',#1100); +#4882=VERTEX_POINT('',#3023); +#4883=VERTEX_POINT('',#1096); +#4884=VERTEX_POINT('',#1098); +#4885=VERTEX_POINT('',#1478); +#4886=VERTEX_POINT('',#3026); +#4887=VERTEX_POINT('',#3015); +#4888=VERTEX_POINT('',#3021); +#4889=VERTEX_POINT('',#3019); +#4890=VERTEX_POINT('',#3017); +#4891=VERTEX_POINT('',#1106); +#4892=VERTEX_POINT('',#3009); +#4893=VERTEX_POINT('',#1102); +#4894=VERTEX_POINT('',#1104); +#4895=VERTEX_POINT('',#1473); +#4896=VERTEX_POINT('',#3012); +#4897=VERTEX_POINT('',#1586); +#4898=VERTEX_POINT('',#1592); +#4899=VERTEX_POINT('',#1590); +#4900=VERTEX_POINT('',#1588); +#4901=VERTEX_POINT('',#1162); +#4902=VERTEX_POINT('',#1165); +#4903=VERTEX_POINT('',#1168); +#4904=VERTEX_POINT('',#1170); +#4905=VERTEX_POINT('',#1598); +#4906=VERTEX_POINT('',#1604); +#4907=VERTEX_POINT('',#1602); +#4908=VERTEX_POINT('',#1600); +#4909=VERTEX_POINT('',#1172); +#4910=VERTEX_POINT('',#1174); +#4911=VERTEX_POINT('',#1176); +#4912=VERTEX_POINT('',#1178); +#4913=VERTEX_POINT('',#1610); +#4914=VERTEX_POINT('',#1616); +#4915=VERTEX_POINT('',#1614); +#4916=VERTEX_POINT('',#1612); +#4917=VERTEX_POINT('',#1180); +#4918=VERTEX_POINT('',#1182); +#4919=VERTEX_POINT('',#1184); +#4920=VERTEX_POINT('',#1186); +#4921=VERTEX_POINT('',#1622); +#4922=VERTEX_POINT('',#1628); +#4923=VERTEX_POINT('',#1626); +#4924=VERTEX_POINT('',#1624); +#4925=VERTEX_POINT('',#1188); +#4926=VERTEX_POINT('',#1190); +#4927=VERTEX_POINT('',#1192); +#4928=VERTEX_POINT('',#1194); +#4929=VERTEX_POINT('',#1634); +#4930=VERTEX_POINT('',#1640); +#4931=VERTEX_POINT('',#1638); +#4932=VERTEX_POINT('',#1636); +#4933=VERTEX_POINT('',#1196); +#4934=VERTEX_POINT('',#1198); +#4935=VERTEX_POINT('',#1200); +#4936=VERTEX_POINT('',#1202); +#4937=VERTEX_POINT('',#1646); +#4938=VERTEX_POINT('',#1652); +#4939=VERTEX_POINT('',#1650); +#4940=VERTEX_POINT('',#1648); +#4941=VERTEX_POINT('',#1204); +#4942=VERTEX_POINT('',#1206); +#4943=VERTEX_POINT('',#1208); +#4944=VERTEX_POINT('',#1210); +#4945=VERTEX_POINT('',#1658); +#4946=VERTEX_POINT('',#1664); +#4947=VERTEX_POINT('',#1662); +#4948=VERTEX_POINT('',#1660); +#4949=VERTEX_POINT('',#1212); +#4950=VERTEX_POINT('',#1214); +#4951=VERTEX_POINT('',#1216); +#4952=VERTEX_POINT('',#1218); +#4953=VERTEX_POINT('',#1670); +#4954=VERTEX_POINT('',#1676); +#4955=VERTEX_POINT('',#1674); +#4956=VERTEX_POINT('',#1672); +#4957=VERTEX_POINT('',#1220); +#4958=VERTEX_POINT('',#1222); +#4959=VERTEX_POINT('',#1224); +#4960=VERTEX_POINT('',#1226); +#4961=VERTEX_POINT('',#1682); +#4962=VERTEX_POINT('',#1688); +#4963=VERTEX_POINT('',#1686); +#4964=VERTEX_POINT('',#1684); +#4965=VERTEX_POINT('',#1228); +#4966=VERTEX_POINT('',#1230); +#4967=VERTEX_POINT('',#1232); +#4968=VERTEX_POINT('',#1234); +#4969=VERTEX_POINT('',#1694); +#4970=VERTEX_POINT('',#1700); +#4971=VERTEX_POINT('',#1698); +#4972=VERTEX_POINT('',#1696); +#4973=VERTEX_POINT('',#1236); +#4974=VERTEX_POINT('',#1238); +#4975=VERTEX_POINT('',#1240); +#4976=VERTEX_POINT('',#1242); +#4977=VERTEX_POINT('',#1706); +#4978=VERTEX_POINT('',#1712); +#4979=VERTEX_POINT('',#1710); +#4980=VERTEX_POINT('',#1708); +#4981=VERTEX_POINT('',#1244); +#4982=VERTEX_POINT('',#1246); +#4983=VERTEX_POINT('',#1248); +#4984=VERTEX_POINT('',#1250); +#4985=VERTEX_POINT('',#1718); +#4986=VERTEX_POINT('',#1724); +#4987=VERTEX_POINT('',#1722); +#4988=VERTEX_POINT('',#1720); +#4989=VERTEX_POINT('',#1252); +#4990=VERTEX_POINT('',#1254); +#4991=VERTEX_POINT('',#1256); +#4992=VERTEX_POINT('',#1258); +#4993=VERTEX_POINT('',#1730); +#4994=VERTEX_POINT('',#1736); +#4995=VERTEX_POINT('',#1734); +#4996=VERTEX_POINT('',#1732); +#4997=VERTEX_POINT('',#1260); +#4998=VERTEX_POINT('',#1262); +#4999=VERTEX_POINT('',#1266); +#5000=VERTEX_POINT('',#1268); +#5001=VERTEX_POINT('',#1742); +#5002=VERTEX_POINT('',#1748); +#5003=VERTEX_POINT('',#1746); +#5004=VERTEX_POINT('',#1744); +#5005=VERTEX_POINT('',#1270); +#5006=VERTEX_POINT('',#1272); +#5007=VERTEX_POINT('',#1274); +#5008=VERTEX_POINT('',#1276); +#5009=VERTEX_POINT('',#1754); +#5010=VERTEX_POINT('',#1760); +#5011=VERTEX_POINT('',#1758); +#5012=VERTEX_POINT('',#1756); +#5013=VERTEX_POINT('',#1278); +#5014=VERTEX_POINT('',#1280); +#5015=VERTEX_POINT('',#1282); +#5016=VERTEX_POINT('',#1284); +#5017=VERTEX_POINT('',#1766); +#5018=VERTEX_POINT('',#1772); +#5019=VERTEX_POINT('',#1770); +#5020=VERTEX_POINT('',#1768); +#5021=VERTEX_POINT('',#1286); +#5022=VERTEX_POINT('',#1288); +#5023=VERTEX_POINT('',#1290); +#5024=VERTEX_POINT('',#1292); +#5025=VERTEX_POINT('',#1778); +#5026=VERTEX_POINT('',#1784); +#5027=VERTEX_POINT('',#1782); +#5028=VERTEX_POINT('',#1780); +#5029=VERTEX_POINT('',#1294); +#5030=VERTEX_POINT('',#1296); +#5031=VERTEX_POINT('',#1298); +#5032=VERTEX_POINT('',#1300); +#5033=VERTEX_POINT('',#1790); +#5034=VERTEX_POINT('',#1796); +#5035=VERTEX_POINT('',#1794); +#5036=VERTEX_POINT('',#1792); +#5037=VERTEX_POINT('',#1302); +#5038=VERTEX_POINT('',#1304); +#5039=VERTEX_POINT('',#1306); +#5040=VERTEX_POINT('',#1308); +#5041=VERTEX_POINT('',#1802); +#5042=VERTEX_POINT('',#1808); +#5043=VERTEX_POINT('',#1806); +#5044=VERTEX_POINT('',#1804); +#5045=VERTEX_POINT('',#1310); +#5046=VERTEX_POINT('',#1312); +#5047=VERTEX_POINT('',#1314); +#5048=VERTEX_POINT('',#1316); +#5049=VERTEX_POINT('',#1814); +#5050=VERTEX_POINT('',#1820); +#5051=VERTEX_POINT('',#1818); +#5052=VERTEX_POINT('',#1816); +#5053=VERTEX_POINT('',#1318); +#5054=VERTEX_POINT('',#1320); +#5055=VERTEX_POINT('',#1322); +#5056=VERTEX_POINT('',#1324); +#5057=VERTEX_POINT('',#1826); +#5058=VERTEX_POINT('',#1832); +#5059=VERTEX_POINT('',#1830); +#5060=VERTEX_POINT('',#1828); +#5061=VERTEX_POINT('',#1326); +#5062=VERTEX_POINT('',#1328); +#5063=VERTEX_POINT('',#1330); +#5064=VERTEX_POINT('',#1332); +#5065=VERTEX_POINT('',#1838); +#5066=VERTEX_POINT('',#1844); +#5067=VERTEX_POINT('',#1842); +#5068=VERTEX_POINT('',#1840); +#5069=VERTEX_POINT('',#1334); +#5070=VERTEX_POINT('',#1336); +#5071=VERTEX_POINT('',#1338); +#5072=VERTEX_POINT('',#1340); +#5073=VERTEX_POINT('',#1850); +#5074=VERTEX_POINT('',#1856); +#5075=VERTEX_POINT('',#1854); +#5076=VERTEX_POINT('',#1852); +#5077=VERTEX_POINT('',#1342); +#5078=VERTEX_POINT('',#1344); +#5079=VERTEX_POINT('',#1346); +#5080=VERTEX_POINT('',#1348); +#5081=VERTEX_POINT('',#1862); +#5082=VERTEX_POINT('',#1868); +#5083=VERTEX_POINT('',#1866); +#5084=VERTEX_POINT('',#1864); +#5085=VERTEX_POINT('',#1350); +#5086=VERTEX_POINT('',#1352); +#5087=VERTEX_POINT('',#1354); +#5088=VERTEX_POINT('',#1356); +#5089=VERTEX_POINT('',#1874); +#5090=VERTEX_POINT('',#1880); +#5091=VERTEX_POINT('',#1878); +#5092=VERTEX_POINT('',#1876); +#5093=VERTEX_POINT('',#1358); +#5094=VERTEX_POINT('',#1360); +#5095=VERTEX_POINT('',#1362); +#5096=VERTEX_POINT('',#1364); +#5097=VERTEX_POINT('',#1886); +#5098=VERTEX_POINT('',#1892); +#5099=VERTEX_POINT('',#1890); +#5100=VERTEX_POINT('',#1888); +#5101=VERTEX_POINT('',#1366); +#5102=VERTEX_POINT('',#1368); +#5103=VERTEX_POINT('',#1370); +#5104=VERTEX_POINT('',#1372); +#5105=VERTEX_POINT('',#1898); +#5106=VERTEX_POINT('',#1904); +#5107=VERTEX_POINT('',#1902); +#5108=VERTEX_POINT('',#1900); +#5109=VERTEX_POINT('',#1374); +#5110=VERTEX_POINT('',#1376); +#5111=VERTEX_POINT('',#1378); +#5112=VERTEX_POINT('',#1380); +#5113=VERTEX_POINT('',#1910); +#5114=VERTEX_POINT('',#1916); +#5115=VERTEX_POINT('',#1914); +#5116=VERTEX_POINT('',#1912); +#5117=VERTEX_POINT('',#1382); +#5118=VERTEX_POINT('',#1384); +#5119=VERTEX_POINT('',#1386); +#5120=VERTEX_POINT('',#1388); +#5121=VERTEX_POINT('',#1922); +#5122=VERTEX_POINT('',#1928); +#5123=VERTEX_POINT('',#1926); +#5124=VERTEX_POINT('',#1924); +#5125=VERTEX_POINT('',#1390); +#5126=VERTEX_POINT('',#1392); +#5127=VERTEX_POINT('',#1394); +#5128=VERTEX_POINT('',#1396); +#5129=VERTEX_POINT('',#1934); +#5130=VERTEX_POINT('',#1940); +#5131=VERTEX_POINT('',#1938); +#5132=VERTEX_POINT('',#1936); +#5133=VERTEX_POINT('',#1398); +#5134=VERTEX_POINT('',#1400); +#5135=VERTEX_POINT('',#1402); +#5136=VERTEX_POINT('',#1404); +#5137=VERTEX_POINT('',#1946); +#5138=VERTEX_POINT('',#1952); +#5139=VERTEX_POINT('',#1950); +#5140=VERTEX_POINT('',#1948); +#5141=VERTEX_POINT('',#1406); +#5142=VERTEX_POINT('',#1408); +#5143=VERTEX_POINT('',#1410); +#5144=VERTEX_POINT('',#1412); +#5145=VERTEX_POINT('',#1958); +#5146=VERTEX_POINT('',#1964); +#5147=VERTEX_POINT('',#1962); +#5148=VERTEX_POINT('',#1960); +#5149=VERTEX_POINT('',#1414); +#5150=VERTEX_POINT('',#1416); +#5151=VERTEX_POINT('',#1418); +#5152=VERTEX_POINT('',#1420); +#5153=VERTEX_POINT('',#2506); +#5154=VERTEX_POINT('',#2512); +#5155=VERTEX_POINT('',#2510); +#5156=VERTEX_POINT('',#2508); +#5157=VERTEX_POINT('',#2263); +#5158=VERTEX_POINT('',#2265); +#5159=VERTEX_POINT('',#2267); +#5160=VERTEX_POINT('',#2269); +#5161=VERTEX_POINT('',#2518); +#5162=VERTEX_POINT('',#2524); +#5163=VERTEX_POINT('',#2522); +#5164=VERTEX_POINT('',#2520); +#5165=VERTEX_POINT('',#2271); +#5166=VERTEX_POINT('',#2273); +#5167=VERTEX_POINT('',#2275); +#5168=VERTEX_POINT('',#2277); +#5169=VERTEX_POINT('',#2530); +#5170=VERTEX_POINT('',#2536); +#5171=VERTEX_POINT('',#2534); +#5172=VERTEX_POINT('',#2532); +#5173=VERTEX_POINT('',#2279); +#5174=VERTEX_POINT('',#2281); +#5175=VERTEX_POINT('',#2283); +#5176=VERTEX_POINT('',#2285); +#5177=VERTEX_POINT('',#2542); +#5178=VERTEX_POINT('',#2548); +#5179=VERTEX_POINT('',#2546); +#5180=VERTEX_POINT('',#2544); +#5181=VERTEX_POINT('',#2287); +#5182=VERTEX_POINT('',#2289); +#5183=VERTEX_POINT('',#2293); +#5184=VERTEX_POINT('',#2295); +#5185=VERTEX_POINT('',#2554); +#5186=VERTEX_POINT('',#2560); +#5187=VERTEX_POINT('',#2558); +#5188=VERTEX_POINT('',#2556); +#5189=VERTEX_POINT('',#2297); +#5190=VERTEX_POINT('',#2299); +#5191=VERTEX_POINT('',#2301); +#5192=VERTEX_POINT('',#2303); +#5193=VERTEX_POINT('',#2566); +#5194=VERTEX_POINT('',#2572); +#5195=VERTEX_POINT('',#2570); +#5196=VERTEX_POINT('',#2568); +#5197=VERTEX_POINT('',#2305); +#5198=VERTEX_POINT('',#2307); +#5199=VERTEX_POINT('',#2309); +#5200=VERTEX_POINT('',#2311); +#5201=VERTEX_POINT('',#2578); +#5202=VERTEX_POINT('',#2584); +#5203=VERTEX_POINT('',#2582); +#5204=VERTEX_POINT('',#2580); +#5205=VERTEX_POINT('',#2313); +#5206=VERTEX_POINT('',#2315); +#5207=VERTEX_POINT('',#2317); +#5208=VERTEX_POINT('',#2319); +#5209=VERTEX_POINT('',#2590); +#5210=VERTEX_POINT('',#2596); +#5211=VERTEX_POINT('',#2594); +#5212=VERTEX_POINT('',#2592); +#5213=VERTEX_POINT('',#2321); +#5214=VERTEX_POINT('',#2323); +#5215=VERTEX_POINT('',#2325); +#5216=VERTEX_POINT('',#2327); +#5217=VERTEX_POINT('',#2602); +#5218=VERTEX_POINT('',#2608); +#5219=VERTEX_POINT('',#2606); +#5220=VERTEX_POINT('',#2604); +#5221=VERTEX_POINT('',#2329); +#5222=VERTEX_POINT('',#2331); +#5223=VERTEX_POINT('',#2333); +#5224=VERTEX_POINT('',#2335); +#5225=VERTEX_POINT('',#2614); +#5226=VERTEX_POINT('',#2620); +#5227=VERTEX_POINT('',#2618); +#5228=VERTEX_POINT('',#2616); +#5229=VERTEX_POINT('',#2337); +#5230=VERTEX_POINT('',#2339); +#5231=VERTEX_POINT('',#2341); +#5232=VERTEX_POINT('',#2343); +#5233=VERTEX_POINT('',#2626); +#5234=VERTEX_POINT('',#2632); +#5235=VERTEX_POINT('',#2630); +#5236=VERTEX_POINT('',#2628); +#5237=VERTEX_POINT('',#2345); +#5238=VERTEX_POINT('',#2347); +#5239=VERTEX_POINT('',#2349); +#5240=VERTEX_POINT('',#2351); +#5241=VERTEX_POINT('',#2638); +#5242=VERTEX_POINT('',#2644); +#5243=VERTEX_POINT('',#2642); +#5244=VERTEX_POINT('',#2640); +#5245=VERTEX_POINT('',#2353); +#5246=VERTEX_POINT('',#2355); +#5247=VERTEX_POINT('',#2357); +#5248=VERTEX_POINT('',#2359); +#5249=VERTEX_POINT('',#2650); +#5250=VERTEX_POINT('',#2656); +#5251=VERTEX_POINT('',#2654); +#5252=VERTEX_POINT('',#2652); +#5253=VERTEX_POINT('',#2361); +#5254=VERTEX_POINT('',#2363); +#5255=VERTEX_POINT('',#2365); +#5256=VERTEX_POINT('',#2367); +#5257=VERTEX_POINT('',#2662); +#5258=VERTEX_POINT('',#2668); +#5259=VERTEX_POINT('',#2666); +#5260=VERTEX_POINT('',#2664); +#5261=VERTEX_POINT('',#2369); +#5262=VERTEX_POINT('',#2371); +#5263=VERTEX_POINT('',#2373); +#5264=VERTEX_POINT('',#2375); +#5265=VERTEX_POINT('',#2674); +#5266=VERTEX_POINT('',#2680); +#5267=VERTEX_POINT('',#2678); +#5268=VERTEX_POINT('',#2676); +#5269=VERTEX_POINT('',#2377); +#5270=VERTEX_POINT('',#2379); +#5271=VERTEX_POINT('',#2381); +#5272=VERTEX_POINT('',#2383); +#5273=VERTEX_POINT('',#2686); +#5274=VERTEX_POINT('',#2692); +#5275=VERTEX_POINT('',#2690); +#5276=VERTEX_POINT('',#2688); +#5277=VERTEX_POINT('',#2385); +#5278=VERTEX_POINT('',#2387); +#5279=VERTEX_POINT('',#2389); +#5280=VERTEX_POINT('',#2391); +#5281=VERTEX_POINT('',#2698); +#5282=VERTEX_POINT('',#2704); +#5283=VERTEX_POINT('',#2702); +#5284=VERTEX_POINT('',#2700); +#5285=VERTEX_POINT('',#2393); +#5286=VERTEX_POINT('',#2395); +#5287=VERTEX_POINT('',#2397); +#5288=VERTEX_POINT('',#2399); +#5289=VERTEX_POINT('',#2710); +#5290=VERTEX_POINT('',#2716); +#5291=VERTEX_POINT('',#2714); +#5292=VERTEX_POINT('',#2712); +#5293=VERTEX_POINT('',#2401); +#5294=VERTEX_POINT('',#2403); +#5295=VERTEX_POINT('',#2405); +#5296=VERTEX_POINT('',#2407); +#5297=VERTEX_POINT('',#2722); +#5298=VERTEX_POINT('',#2728); +#5299=VERTEX_POINT('',#2726); +#5300=VERTEX_POINT('',#2724); +#5301=VERTEX_POINT('',#2409); +#5302=VERTEX_POINT('',#2411); +#5303=VERTEX_POINT('',#2413); +#5304=VERTEX_POINT('',#2415); +#5305=VERTEX_POINT('',#2736); +#5306=VERTEX_POINT('',#2742); +#5307=VERTEX_POINT('',#2740); +#5308=VERTEX_POINT('',#2738); +#5309=VERTEX_POINT('',#2252); +#5310=VERTEX_POINT('',#2733); +#5311=VERTEX_POINT('',#2256); +#5312=VERTEX_POINT('',#2422); +#5313=VERTEX_POINT('',#2254); +#5314=VERTEX_POINT('',#2730); +#5315=VERTEX_POINT('',#2750); +#5316=VERTEX_POINT('',#2756); +#5317=VERTEX_POINT('',#2754); +#5318=VERTEX_POINT('',#2752); +#5319=VERTEX_POINT('',#2245); +#5320=VERTEX_POINT('',#2747); +#5321=VERTEX_POINT('',#2249); +#5322=VERTEX_POINT('',#2426); +#5323=VERTEX_POINT('',#2247); +#5324=VERTEX_POINT('',#2744); +#5325=VERTEX_POINT('',#2764); +#5326=VERTEX_POINT('',#2770); +#5327=VERTEX_POINT('',#2768); +#5328=VERTEX_POINT('',#2766); +#5329=VERTEX_POINT('',#2238); +#5330=VERTEX_POINT('',#2761); +#5331=VERTEX_POINT('',#2242); +#5332=VERTEX_POINT('',#2430); +#5333=VERTEX_POINT('',#2240); +#5334=VERTEX_POINT('',#2758); +#5335=VERTEX_POINT('',#2778); +#5336=VERTEX_POINT('',#2784); +#5337=VERTEX_POINT('',#2782); +#5338=VERTEX_POINT('',#2780); +#5339=VERTEX_POINT('',#2231); +#5340=VERTEX_POINT('',#2775); +#5341=VERTEX_POINT('',#2235); +#5342=VERTEX_POINT('',#2436); +#5343=VERTEX_POINT('',#2233); +#5344=VERTEX_POINT('',#2772); +#5345=VERTEX_POINT('',#2792); +#5346=VERTEX_POINT('',#2798); +#5347=VERTEX_POINT('',#2796); +#5348=VERTEX_POINT('',#2794); +#5349=VERTEX_POINT('',#2224); +#5350=VERTEX_POINT('',#2789); +#5351=VERTEX_POINT('',#2228); +#5352=VERTEX_POINT('',#2440); +#5353=VERTEX_POINT('',#2226); +#5354=VERTEX_POINT('',#2786); +#5355=VERTEX_POINT('',#2806); +#5356=VERTEX_POINT('',#2812); +#5357=VERTEX_POINT('',#2810); +#5358=VERTEX_POINT('',#2808); +#5359=VERTEX_POINT('',#2217); +#5360=VERTEX_POINT('',#2803); +#5361=VERTEX_POINT('',#2221); +#5362=VERTEX_POINT('',#2444); +#5363=VERTEX_POINT('',#2219); +#5364=VERTEX_POINT('',#2800); +#5365=VERTEX_POINT('',#2820); +#5366=VERTEX_POINT('',#2826); +#5367=VERTEX_POINT('',#2824); +#5368=VERTEX_POINT('',#2822); +#5369=VERTEX_POINT('',#2210); +#5370=VERTEX_POINT('',#2817); +#5371=VERTEX_POINT('',#2214); +#5372=VERTEX_POINT('',#2448); +#5373=VERTEX_POINT('',#2212); +#5374=VERTEX_POINT('',#2814); +#5375=VERTEX_POINT('',#2834); +#5376=VERTEX_POINT('',#2840); +#5377=VERTEX_POINT('',#2838); +#5378=VERTEX_POINT('',#2836); +#5379=VERTEX_POINT('',#2203); +#5380=VERTEX_POINT('',#2831); +#5381=VERTEX_POINT('',#2207); +#5382=VERTEX_POINT('',#2452); +#5383=VERTEX_POINT('',#2205); +#5384=VERTEX_POINT('',#2828); +#5385=VERTEX_POINT('',#2848); +#5386=VERTEX_POINT('',#2854); +#5387=VERTEX_POINT('',#2852); +#5388=VERTEX_POINT('',#2850); +#5389=VERTEX_POINT('',#2196); +#5390=VERTEX_POINT('',#2845); +#5391=VERTEX_POINT('',#2200); +#5392=VERTEX_POINT('',#2456); +#5393=VERTEX_POINT('',#2198); +#5394=VERTEX_POINT('',#2842); +#5395=VERTEX_POINT('',#2862); +#5396=VERTEX_POINT('',#2868); +#5397=VERTEX_POINT('',#2866); +#5398=VERTEX_POINT('',#2864); +#5399=VERTEX_POINT('',#2189); +#5400=VERTEX_POINT('',#2859); +#5401=VERTEX_POINT('',#2193); +#5402=VERTEX_POINT('',#2460); +#5403=VERTEX_POINT('',#2191); +#5404=VERTEX_POINT('',#2856); +#5405=VERTEX_POINT('',#2876); +#5406=VERTEX_POINT('',#2882); +#5407=VERTEX_POINT('',#2880); +#5408=VERTEX_POINT('',#2878); +#5409=VERTEX_POINT('',#2182); +#5410=VERTEX_POINT('',#2873); +#5411=VERTEX_POINT('',#2186); +#5412=VERTEX_POINT('',#2464); +#5413=VERTEX_POINT('',#2184); +#5414=VERTEX_POINT('',#2870); +#5415=VERTEX_POINT('',#2890); +#5416=VERTEX_POINT('',#2896); +#5417=VERTEX_POINT('',#2894); +#5418=VERTEX_POINT('',#2892); +#5419=VERTEX_POINT('',#2175); +#5420=VERTEX_POINT('',#2887); +#5421=VERTEX_POINT('',#2179); +#5422=VERTEX_POINT('',#2468); +#5423=VERTEX_POINT('',#2177); +#5424=VERTEX_POINT('',#2884); +#5425=VERTEX_POINT('',#2904); +#5426=VERTEX_POINT('',#2910); +#5427=VERTEX_POINT('',#2908); +#5428=VERTEX_POINT('',#2906); +#5429=VERTEX_POINT('',#2168); +#5430=VERTEX_POINT('',#2901); +#5431=VERTEX_POINT('',#2172); +#5432=VERTEX_POINT('',#2472); +#5433=VERTEX_POINT('',#2170); +#5434=VERTEX_POINT('',#2898); +#5435=VERTEX_POINT('',#2918); +#5436=VERTEX_POINT('',#2924); +#5437=VERTEX_POINT('',#2922); +#5438=VERTEX_POINT('',#2920); +#5439=VERTEX_POINT('',#2161); +#5440=VERTEX_POINT('',#2915); +#5441=VERTEX_POINT('',#2165); +#5442=VERTEX_POINT('',#2476); +#5443=VERTEX_POINT('',#2163); +#5444=VERTEX_POINT('',#2912); +#5445=VERTEX_POINT('',#2932); +#5446=VERTEX_POINT('',#2938); +#5447=VERTEX_POINT('',#2936); +#5448=VERTEX_POINT('',#2934); +#5449=VERTEX_POINT('',#2154); +#5450=VERTEX_POINT('',#2929); +#5451=VERTEX_POINT('',#2158); +#5452=VERTEX_POINT('',#2480); +#5453=VERTEX_POINT('',#2156); +#5454=VERTEX_POINT('',#2926); +#5455=VERTEX_POINT('',#2946); +#5456=VERTEX_POINT('',#2952); +#5457=VERTEX_POINT('',#2950); +#5458=VERTEX_POINT('',#2948); +#5459=VERTEX_POINT('',#2147); +#5460=VERTEX_POINT('',#2943); +#5461=VERTEX_POINT('',#2151); +#5462=VERTEX_POINT('',#2484); +#5463=VERTEX_POINT('',#2149); +#5464=VERTEX_POINT('',#2940); +#5465=VERTEX_POINT('',#2960); +#5466=VERTEX_POINT('',#2966); +#5467=VERTEX_POINT('',#2964); +#5468=VERTEX_POINT('',#2962); +#5469=VERTEX_POINT('',#2140); +#5470=VERTEX_POINT('',#2957); +#5471=VERTEX_POINT('',#2144); +#5472=VERTEX_POINT('',#2488); +#5473=VERTEX_POINT('',#2142); +#5474=VERTEX_POINT('',#2954); +#5475=VERTEX_POINT('',#2974); +#5476=VERTEX_POINT('',#2980); +#5477=VERTEX_POINT('',#2978); +#5478=VERTEX_POINT('',#2976); +#5479=VERTEX_POINT('',#2133); +#5480=VERTEX_POINT('',#2971); +#5481=VERTEX_POINT('',#2137); +#5482=VERTEX_POINT('',#2492); +#5483=VERTEX_POINT('',#2135); +#5484=VERTEX_POINT('',#2968); +#5485=VERTEX_POINT('',#2988); +#5486=VERTEX_POINT('',#2994); +#5487=VERTEX_POINT('',#2992); +#5488=VERTEX_POINT('',#2990); +#5489=VERTEX_POINT('',#2126); +#5490=VERTEX_POINT('',#2985); +#5491=VERTEX_POINT('',#2130); +#5492=VERTEX_POINT('',#2496); +#5493=VERTEX_POINT('',#2128); +#5494=VERTEX_POINT('',#2982); +#5495=VERTEX_POINT('',#2999); +#5496=VERTEX_POINT('',#3005); +#5497=VERTEX_POINT('',#3003); +#5498=VERTEX_POINT('',#3001); +#5499=VERTEX_POINT('',#2119); +#5500=VERTEX_POINT('',#2261); +#5501=VERTEX_POINT('',#2123); +#5502=VERTEX_POINT('',#2500); +#5503=VERTEX_POINT('',#2121); +#5504=VERTEX_POINT('',#2996); +#5505=VERTEX_POINT('',#3319); +#5506=VERTEX_POINT('',#3331); +#5507=VERTEX_POINT('',#3327); +#5508=VERTEX_POINT('',#3323); +#5509=VERTEX_POINT('',#99); +#5510=VERTEX_POINT('',#102); +#5511=VERTEX_POINT('',#105); +#5512=VERTEX_POINT('',#108); +#5513=VERTEX_POINT('',#3341); +#5514=VERTEX_POINT('',#3347); +#5515=VERTEX_POINT('',#3345); +#5516=VERTEX_POINT('',#3343); +#5517=VERTEX_POINT('',#110); +#5518=VERTEX_POINT('',#112); +#5519=VERTEX_POINT('',#114); +#5520=VERTEX_POINT('',#116); +#5521=VERTEX_POINT('',#3353); +#5522=VERTEX_POINT('',#3359); +#5523=VERTEX_POINT('',#3357); +#5524=VERTEX_POINT('',#3355); +#5525=VERTEX_POINT('',#118); +#5526=VERTEX_POINT('',#120); +#5527=VERTEX_POINT('',#122); +#5528=VERTEX_POINT('',#124); +#5529=VERTEX_POINT('',#3365); +#5530=VERTEX_POINT('',#3371); +#5531=VERTEX_POINT('',#3369); +#5532=VERTEX_POINT('',#3367); +#5533=VERTEX_POINT('',#126); +#5534=VERTEX_POINT('',#128); +#5535=VERTEX_POINT('',#130); +#5536=VERTEX_POINT('',#132); +#5537=VERTEX_POINT('',#3377); +#5538=VERTEX_POINT('',#3383); +#5539=VERTEX_POINT('',#3381); +#5540=VERTEX_POINT('',#3379); +#5541=VERTEX_POINT('',#134); +#5542=VERTEX_POINT('',#136); +#5543=VERTEX_POINT('',#138); +#5544=VERTEX_POINT('',#140); +#5545=VERTEX_POINT('',#3389); +#5546=VERTEX_POINT('',#3395); +#5547=VERTEX_POINT('',#3393); +#5548=VERTEX_POINT('',#3391); +#5549=VERTEX_POINT('',#142); +#5550=VERTEX_POINT('',#144); +#5551=VERTEX_POINT('',#146); +#5552=VERTEX_POINT('',#148); +#5553=VERTEX_POINT('',#3401); +#5554=VERTEX_POINT('',#3407); +#5555=VERTEX_POINT('',#3405); +#5556=VERTEX_POINT('',#3403); +#5557=VERTEX_POINT('',#150); +#5558=VERTEX_POINT('',#152); +#5559=VERTEX_POINT('',#154); +#5560=VERTEX_POINT('',#156); +#5561=VERTEX_POINT('',#3413); +#5562=VERTEX_POINT('',#3419); +#5563=VERTEX_POINT('',#3417); +#5564=VERTEX_POINT('',#3415); +#5565=VERTEX_POINT('',#158); +#5566=VERTEX_POINT('',#160); +#5567=VERTEX_POINT('',#162); +#5568=VERTEX_POINT('',#164); +#5569=VERTEX_POINT('',#3425); +#5570=VERTEX_POINT('',#3431); +#5571=VERTEX_POINT('',#3429); +#5572=VERTEX_POINT('',#3427); +#5573=VERTEX_POINT('',#166); +#5574=VERTEX_POINT('',#168); +#5575=VERTEX_POINT('',#170); +#5576=VERTEX_POINT('',#172); +#5577=VERTEX_POINT('',#3437); +#5578=VERTEX_POINT('',#3443); +#5579=VERTEX_POINT('',#3441); +#5580=VERTEX_POINT('',#3439); +#5581=VERTEX_POINT('',#174); +#5582=VERTEX_POINT('',#176); +#5583=VERTEX_POINT('',#178); +#5584=VERTEX_POINT('',#180); +#5585=VERTEX_POINT('',#3449); +#5586=VERTEX_POINT('',#3455); +#5587=VERTEX_POINT('',#3453); +#5588=VERTEX_POINT('',#3451); +#5589=VERTEX_POINT('',#182); +#5590=VERTEX_POINT('',#184); +#5591=VERTEX_POINT('',#186); +#5592=VERTEX_POINT('',#188); +#5593=VERTEX_POINT('',#3461); +#5594=VERTEX_POINT('',#3467); +#5595=VERTEX_POINT('',#3465); +#5596=VERTEX_POINT('',#3463); +#5597=VERTEX_POINT('',#190); +#5598=VERTEX_POINT('',#192); +#5599=VERTEX_POINT('',#194); +#5600=VERTEX_POINT('',#196); +#5601=VERTEX_POINT('',#3473); +#5602=VERTEX_POINT('',#3479); +#5603=VERTEX_POINT('',#3477); +#5604=VERTEX_POINT('',#3475); +#5605=VERTEX_POINT('',#198); +#5606=VERTEX_POINT('',#200); +#5607=VERTEX_POINT('',#202); +#5608=VERTEX_POINT('',#204); +#5609=VERTEX_POINT('',#3485); +#5610=VERTEX_POINT('',#3491); +#5611=VERTEX_POINT('',#3489); +#5612=VERTEX_POINT('',#3487); +#5613=VERTEX_POINT('',#206); +#5614=VERTEX_POINT('',#208); +#5615=VERTEX_POINT('',#210); +#5616=VERTEX_POINT('',#212); +#5617=VERTEX_POINT('',#3497); +#5618=VERTEX_POINT('',#3503); +#5619=VERTEX_POINT('',#3501); +#5620=VERTEX_POINT('',#3499); +#5621=VERTEX_POINT('',#214); +#5622=VERTEX_POINT('',#216); +#5623=VERTEX_POINT('',#218); +#5624=VERTEX_POINT('',#220); +#5625=VERTEX_POINT('',#3509); +#5626=VERTEX_POINT('',#3515); +#5627=VERTEX_POINT('',#3513); +#5628=VERTEX_POINT('',#3511); +#5629=VERTEX_POINT('',#222); +#5630=VERTEX_POINT('',#224); +#5631=VERTEX_POINT('',#226); +#5632=VERTEX_POINT('',#228); +#5633=VERTEX_POINT('',#3521); +#5634=VERTEX_POINT('',#3527); +#5635=VERTEX_POINT('',#3525); +#5636=VERTEX_POINT('',#3523); +#5637=VERTEX_POINT('',#230); +#5638=VERTEX_POINT('',#232); +#5639=VERTEX_POINT('',#234); +#5640=VERTEX_POINT('',#236); +#5641=VERTEX_POINT('',#3533); +#5642=VERTEX_POINT('',#3539); +#5643=VERTEX_POINT('',#3537); +#5644=VERTEX_POINT('',#3535); +#5645=VERTEX_POINT('',#238); +#5646=VERTEX_POINT('',#240); +#5647=VERTEX_POINT('',#242); +#5648=VERTEX_POINT('',#244); +#5649=VERTEX_POINT('',#3545); +#5650=VERTEX_POINT('',#3551); +#5651=VERTEX_POINT('',#3549); +#5652=VERTEX_POINT('',#3547); +#5653=VERTEX_POINT('',#246); +#5654=VERTEX_POINT('',#248); +#5655=VERTEX_POINT('',#250); +#5656=VERTEX_POINT('',#252); +#5657=VERTEX_POINT('',#3557); +#5658=VERTEX_POINT('',#3563); +#5659=VERTEX_POINT('',#3561); +#5660=VERTEX_POINT('',#3559); +#5661=VERTEX_POINT('',#254); +#5662=VERTEX_POINT('',#256); +#5663=VERTEX_POINT('',#258); +#5664=VERTEX_POINT('',#260); +#5665=VERTEX_POINT('',#3569); +#5666=VERTEX_POINT('',#3575); +#5667=VERTEX_POINT('',#3573); +#5668=VERTEX_POINT('',#3571); +#5669=VERTEX_POINT('',#262); +#5670=VERTEX_POINT('',#264); +#5671=VERTEX_POINT('',#266); +#5672=VERTEX_POINT('',#268); +#5673=VERTEX_POINT('',#3581); +#5674=VERTEX_POINT('',#3587); +#5675=VERTEX_POINT('',#3585); +#5676=VERTEX_POINT('',#3583); +#5677=VERTEX_POINT('',#270); +#5678=VERTEX_POINT('',#272); +#5679=VERTEX_POINT('',#274); +#5680=VERTEX_POINT('',#276); +#5681=VERTEX_POINT('',#3593); +#5682=VERTEX_POINT('',#3599); +#5683=VERTEX_POINT('',#3597); +#5684=VERTEX_POINT('',#3595); +#5685=VERTEX_POINT('',#278); +#5686=VERTEX_POINT('',#280); +#5687=VERTEX_POINT('',#282); +#5688=VERTEX_POINT('',#284); +#5689=VERTEX_POINT('',#3605); +#5690=VERTEX_POINT('',#3611); +#5691=VERTEX_POINT('',#3609); +#5692=VERTEX_POINT('',#3607); +#5693=VERTEX_POINT('',#286); +#5694=VERTEX_POINT('',#288); +#5695=VERTEX_POINT('',#290); +#5696=VERTEX_POINT('',#292); +#5697=VERTEX_POINT('',#3617); +#5698=VERTEX_POINT('',#3623); +#5699=VERTEX_POINT('',#3621); +#5700=VERTEX_POINT('',#3619); +#5701=VERTEX_POINT('',#294); +#5702=VERTEX_POINT('',#296); +#5703=VERTEX_POINT('',#298); +#5704=VERTEX_POINT('',#300); +#5705=VERTEX_POINT('',#3629); +#5706=VERTEX_POINT('',#3635); +#5707=VERTEX_POINT('',#3633); +#5708=VERTEX_POINT('',#3631); +#5709=VERTEX_POINT('',#302); +#5710=VERTEX_POINT('',#304); +#5711=VERTEX_POINT('',#306); +#5712=VERTEX_POINT('',#308); +#5713=VERTEX_POINT('',#3641); +#5714=VERTEX_POINT('',#3647); +#5715=VERTEX_POINT('',#3645); +#5716=VERTEX_POINT('',#3643); +#5717=VERTEX_POINT('',#310); +#5718=VERTEX_POINT('',#312); +#5719=VERTEX_POINT('',#314); +#5720=VERTEX_POINT('',#316); +#5721=VERTEX_POINT('',#3653); +#5722=VERTEX_POINT('',#3659); +#5723=VERTEX_POINT('',#3657); +#5724=VERTEX_POINT('',#3655); +#5725=VERTEX_POINT('',#318); +#5726=VERTEX_POINT('',#320); +#5727=VERTEX_POINT('',#322); +#5728=VERTEX_POINT('',#324); +#5729=VERTEX_POINT('',#3665); +#5730=VERTEX_POINT('',#3671); +#5731=VERTEX_POINT('',#3669); +#5732=VERTEX_POINT('',#3667); +#5733=VERTEX_POINT('',#326); +#5734=VERTEX_POINT('',#328); +#5735=VERTEX_POINT('',#330); +#5736=VERTEX_POINT('',#332); +#5737=VERTEX_POINT('',#3677); +#5738=VERTEX_POINT('',#3683); +#5739=VERTEX_POINT('',#3681); +#5740=VERTEX_POINT('',#3679); +#5741=VERTEX_POINT('',#334); +#5742=VERTEX_POINT('',#336); +#5743=VERTEX_POINT('',#338); +#5744=VERTEX_POINT('',#340); +#5745=VERTEX_POINT('',#3689); +#5746=VERTEX_POINT('',#3695); +#5747=VERTEX_POINT('',#3693); +#5748=VERTEX_POINT('',#3691); +#5749=VERTEX_POINT('',#342); +#5750=VERTEX_POINT('',#344); +#5751=VERTEX_POINT('',#346); +#5752=VERTEX_POINT('',#348); +#5753=VERTEX_POINT('',#3701); +#5754=VERTEX_POINT('',#3707); +#5755=VERTEX_POINT('',#3705); +#5756=VERTEX_POINT('',#3703); +#5757=VERTEX_POINT('',#350); +#5758=VERTEX_POINT('',#352); +#5759=VERTEX_POINT('',#354); +#5760=VERTEX_POINT('',#356); +#5761=VERTEX_POINT('',#3713); +#5762=VERTEX_POINT('',#3719); +#5763=VERTEX_POINT('',#3717); +#5764=VERTEX_POINT('',#3715); +#5765=VERTEX_POINT('',#358); +#5766=VERTEX_POINT('',#360); +#5767=VERTEX_POINT('',#362); +#5768=VERTEX_POINT('',#364); +#5769=VERTEX_POINT('',#3725); +#5770=VERTEX_POINT('',#3731); +#5771=VERTEX_POINT('',#3729); +#5772=VERTEX_POINT('',#3727); +#5773=VERTEX_POINT('',#366); +#5774=VERTEX_POINT('',#368); +#5775=VERTEX_POINT('',#370); +#5776=VERTEX_POINT('',#372); +#5777=VERTEX_POINT('',#3737); +#5778=VERTEX_POINT('',#3743); +#5779=VERTEX_POINT('',#3741); +#5780=VERTEX_POINT('',#3739); +#5781=VERTEX_POINT('',#374); +#5782=VERTEX_POINT('',#376); +#5783=VERTEX_POINT('',#378); +#5784=VERTEX_POINT('',#380); +#5785=VERTEX_POINT('',#3749); +#5786=VERTEX_POINT('',#3755); +#5787=VERTEX_POINT('',#3753); +#5788=VERTEX_POINT('',#3751); +#5789=VERTEX_POINT('',#382); +#5790=VERTEX_POINT('',#384); +#5791=VERTEX_POINT('',#386); +#5792=VERTEX_POINT('',#388); +#5793=VERTEX_POINT('',#3761); +#5794=VERTEX_POINT('',#3767); +#5795=VERTEX_POINT('',#3765); +#5796=VERTEX_POINT('',#3763); +#5797=VERTEX_POINT('',#390); +#5798=VERTEX_POINT('',#392); +#5799=VERTEX_POINT('',#394); +#5800=VERTEX_POINT('',#396); +#5801=VERTEX_POINT('',#3773); +#5802=VERTEX_POINT('',#3779); +#5803=VERTEX_POINT('',#3777); +#5804=VERTEX_POINT('',#3775); +#5805=VERTEX_POINT('',#398); +#5806=VERTEX_POINT('',#400); +#5807=VERTEX_POINT('',#402); +#5808=VERTEX_POINT('',#404); +#5809=VERTEX_POINT('',#3785); +#5810=VERTEX_POINT('',#3791); +#5811=VERTEX_POINT('',#3789); +#5812=VERTEX_POINT('',#3787); +#5813=VERTEX_POINT('',#406); +#5814=VERTEX_POINT('',#408); +#5815=VERTEX_POINT('',#410); +#5816=VERTEX_POINT('',#412); +#5817=VERTEX_POINT('',#3797); +#5818=VERTEX_POINT('',#3803); +#5819=VERTEX_POINT('',#3801); +#5820=VERTEX_POINT('',#3799); +#5821=VERTEX_POINT('',#414); +#5822=VERTEX_POINT('',#416); +#5823=VERTEX_POINT('',#418); +#5824=VERTEX_POINT('',#420); +#5825=VERTEX_POINT('',#3809); +#5826=VERTEX_POINT('',#3815); +#5827=VERTEX_POINT('',#3813); +#5828=VERTEX_POINT('',#3811); +#5829=VERTEX_POINT('',#422); +#5830=VERTEX_POINT('',#424); +#5831=VERTEX_POINT('',#426); +#5832=VERTEX_POINT('',#428); +#5833=VERTEX_POINT('',#3821); +#5834=VERTEX_POINT('',#3827); +#5835=VERTEX_POINT('',#3825); +#5836=VERTEX_POINT('',#3823); +#5837=VERTEX_POINT('',#430); +#5838=VERTEX_POINT('',#432); +#5839=VERTEX_POINT('',#434); +#5840=VERTEX_POINT('',#436); +#5841=VERTEX_POINT('',#3833); +#5842=VERTEX_POINT('',#3839); +#5843=VERTEX_POINT('',#3837); +#5844=VERTEX_POINT('',#3835); +#5845=VERTEX_POINT('',#438); +#5846=VERTEX_POINT('',#440); +#5847=VERTEX_POINT('',#442); +#5848=VERTEX_POINT('',#444); +#5849=VERTEX_POINT('',#3845); +#5850=VERTEX_POINT('',#3851); +#5851=VERTEX_POINT('',#3849); +#5852=VERTEX_POINT('',#3847); +#5853=VERTEX_POINT('',#446); +#5854=VERTEX_POINT('',#448); +#5855=VERTEX_POINT('',#450); +#5856=VERTEX_POINT('',#452); +#5857=VERTEX_POINT('',#3857); +#5858=VERTEX_POINT('',#3863); +#5859=VERTEX_POINT('',#3861); +#5860=VERTEX_POINT('',#3859); +#5861=VERTEX_POINT('',#454); +#5862=VERTEX_POINT('',#456); +#5863=VERTEX_POINT('',#458); +#5864=VERTEX_POINT('',#460); +#5865=VERTEX_POINT('',#3869); +#5866=VERTEX_POINT('',#3875); +#5867=VERTEX_POINT('',#3873); +#5868=VERTEX_POINT('',#3871); +#5869=VERTEX_POINT('',#462); +#5870=VERTEX_POINT('',#464); +#5871=VERTEX_POINT('',#466); +#5872=VERTEX_POINT('',#468); +#5873=VERTEX_POINT('',#3881); +#5874=VERTEX_POINT('',#3887); +#5875=VERTEX_POINT('',#3885); +#5876=VERTEX_POINT('',#3883); +#5877=VERTEX_POINT('',#470); +#5878=VERTEX_POINT('',#472); +#5879=VERTEX_POINT('',#474); +#5880=VERTEX_POINT('',#476); +#5881=VERTEX_POINT('',#3893); +#5882=VERTEX_POINT('',#3899); +#5883=VERTEX_POINT('',#3897); +#5884=VERTEX_POINT('',#3895); +#5885=VERTEX_POINT('',#478); +#5886=VERTEX_POINT('',#480); +#5887=VERTEX_POINT('',#482); +#5888=VERTEX_POINT('',#484); +#5889=VERTEX_POINT('',#3905); +#5890=VERTEX_POINT('',#3911); +#5891=VERTEX_POINT('',#3909); +#5892=VERTEX_POINT('',#3907); +#5893=VERTEX_POINT('',#486); +#5894=VERTEX_POINT('',#488); +#5895=VERTEX_POINT('',#490); +#5896=VERTEX_POINT('',#492); +#5897=VERTEX_POINT('',#3917); +#5898=VERTEX_POINT('',#3923); +#5899=VERTEX_POINT('',#3921); +#5900=VERTEX_POINT('',#3919); +#5901=VERTEX_POINT('',#494); +#5902=VERTEX_POINT('',#496); +#5903=VERTEX_POINT('',#498); +#5904=VERTEX_POINT('',#500); +#5905=VERTEX_POINT('',#3929); +#5906=VERTEX_POINT('',#3935); +#5907=VERTEX_POINT('',#3933); +#5908=VERTEX_POINT('',#3931); +#5909=VERTEX_POINT('',#502); +#5910=VERTEX_POINT('',#504); +#5911=VERTEX_POINT('',#506); +#5912=VERTEX_POINT('',#508); +#5913=VERTEX_POINT('',#3941); +#5914=VERTEX_POINT('',#3947); +#5915=VERTEX_POINT('',#3945); +#5916=VERTEX_POINT('',#3943); +#5917=VERTEX_POINT('',#510); +#5918=VERTEX_POINT('',#512); +#5919=VERTEX_POINT('',#514); +#5920=VERTEX_POINT('',#516); +#5921=VERTEX_POINT('',#3953); +#5922=VERTEX_POINT('',#3959); +#5923=VERTEX_POINT('',#3957); +#5924=VERTEX_POINT('',#3955); +#5925=VERTEX_POINT('',#518); +#5926=VERTEX_POINT('',#520); +#5927=VERTEX_POINT('',#522); +#5928=VERTEX_POINT('',#524); +#5929=VERTEX_POINT('',#3965); +#5930=VERTEX_POINT('',#3971); +#5931=VERTEX_POINT('',#3969); +#5932=VERTEX_POINT('',#3967); +#5933=VERTEX_POINT('',#526); +#5934=VERTEX_POINT('',#528); +#5935=VERTEX_POINT('',#530); +#5936=VERTEX_POINT('',#532); +#5937=VERTEX_POINT('',#3977); +#5938=VERTEX_POINT('',#3983); +#5939=VERTEX_POINT('',#3981); +#5940=VERTEX_POINT('',#3979); +#5941=VERTEX_POINT('',#534); +#5942=VERTEX_POINT('',#536); +#5943=VERTEX_POINT('',#538); +#5944=VERTEX_POINT('',#540); +#5945=VERTEX_POINT('',#3989); +#5946=VERTEX_POINT('',#3995); +#5947=VERTEX_POINT('',#3993); +#5948=VERTEX_POINT('',#3991); +#5949=VERTEX_POINT('',#542); +#5950=VERTEX_POINT('',#544); +#5951=VERTEX_POINT('',#546); +#5952=VERTEX_POINT('',#548); +#5953=VERTEX_POINT('',#4001); +#5954=VERTEX_POINT('',#4007); +#5955=VERTEX_POINT('',#4005); +#5956=VERTEX_POINT('',#4003); +#5957=VERTEX_POINT('',#550); +#5958=VERTEX_POINT('',#552); +#5959=VERTEX_POINT('',#554); +#5960=VERTEX_POINT('',#556); +#5961=VERTEX_POINT('',#4013); +#5962=VERTEX_POINT('',#4019); +#5963=VERTEX_POINT('',#4017); +#5964=VERTEX_POINT('',#4015); +#5965=VERTEX_POINT('',#558); +#5966=VERTEX_POINT('',#560); +#5967=VERTEX_POINT('',#562); +#5968=VERTEX_POINT('',#564); +#5969=VERTEX_POINT('',#4025); +#5970=VERTEX_POINT('',#4031); +#5971=VERTEX_POINT('',#4029); +#5972=VERTEX_POINT('',#4027); +#5973=VERTEX_POINT('',#566); +#5974=VERTEX_POINT('',#568); +#5975=VERTEX_POINT('',#570); +#5976=VERTEX_POINT('',#572); +#5977=VERTEX_POINT('',#4037); +#5978=VERTEX_POINT('',#4043); +#5979=VERTEX_POINT('',#4041); +#5980=VERTEX_POINT('',#4039); +#5981=VERTEX_POINT('',#574); +#5982=VERTEX_POINT('',#576); +#5983=VERTEX_POINT('',#578); +#5984=VERTEX_POINT('',#580); +#5985=VERTEX_POINT('',#4049); +#5986=VERTEX_POINT('',#4055); +#5987=VERTEX_POINT('',#4053); +#5988=VERTEX_POINT('',#4051); +#5989=VERTEX_POINT('',#582); +#5990=VERTEX_POINT('',#584); +#5991=VERTEX_POINT('',#586); +#5992=VERTEX_POINT('',#588); +#5993=VERTEX_POINT('',#4061); +#5994=VERTEX_POINT('',#4067); +#5995=VERTEX_POINT('',#4065); +#5996=VERTEX_POINT('',#4063); +#5997=VERTEX_POINT('',#590); +#5998=VERTEX_POINT('',#592); +#5999=VERTEX_POINT('',#594); +#6000=VERTEX_POINT('',#596); +#6001=VERTEX_POINT('',#4073); +#6002=VERTEX_POINT('',#4079); +#6003=VERTEX_POINT('',#4077); +#6004=VERTEX_POINT('',#4075); +#6005=VERTEX_POINT('',#598); +#6006=VERTEX_POINT('',#600); +#6007=VERTEX_POINT('',#602); +#6008=VERTEX_POINT('',#604); +#6009=VERTEX_POINT('',#4085); +#6010=VERTEX_POINT('',#4091); +#6011=VERTEX_POINT('',#4089); +#6012=VERTEX_POINT('',#4087); +#6013=VERTEX_POINT('',#606); +#6014=VERTEX_POINT('',#608); +#6015=VERTEX_POINT('',#610); +#6016=VERTEX_POINT('',#612); +#6017=VERTEX_POINT('',#4097); +#6018=VERTEX_POINT('',#4103); +#6019=VERTEX_POINT('',#4101); +#6020=VERTEX_POINT('',#4099); +#6021=VERTEX_POINT('',#614); +#6022=VERTEX_POINT('',#616); +#6023=VERTEX_POINT('',#618); +#6024=VERTEX_POINT('',#620); +#6025=VERTEX_POINT('',#4109); +#6026=VERTEX_POINT('',#4115); +#6027=VERTEX_POINT('',#4113); +#6028=VERTEX_POINT('',#4111); +#6029=VERTEX_POINT('',#622); +#6030=VERTEX_POINT('',#624); +#6031=VERTEX_POINT('',#626); +#6032=VERTEX_POINT('',#628); +#6033=VERTEX_POINT('',#4121); +#6034=VERTEX_POINT('',#4127); +#6035=VERTEX_POINT('',#4125); +#6036=VERTEX_POINT('',#4123); +#6037=VERTEX_POINT('',#630); +#6038=VERTEX_POINT('',#632); +#6039=VERTEX_POINT('',#634); +#6040=VERTEX_POINT('',#636); +#6041=VERTEX_POINT('',#4133); +#6042=VERTEX_POINT('',#4139); +#6043=VERTEX_POINT('',#4137); +#6044=VERTEX_POINT('',#4135); +#6045=VERTEX_POINT('',#638); +#6046=VERTEX_POINT('',#640); +#6047=VERTEX_POINT('',#642); +#6048=VERTEX_POINT('',#644); +#6049=VERTEX_POINT('',#4145); +#6050=VERTEX_POINT('',#4151); +#6051=VERTEX_POINT('',#4149); +#6052=VERTEX_POINT('',#4147); +#6053=VERTEX_POINT('',#646); +#6054=VERTEX_POINT('',#648); +#6055=VERTEX_POINT('',#650); +#6056=VERTEX_POINT('',#652); +#6057=VERTEX_POINT('',#4157); +#6058=VERTEX_POINT('',#4163); +#6059=VERTEX_POINT('',#4161); +#6060=VERTEX_POINT('',#4159); +#6061=VERTEX_POINT('',#654); +#6062=VERTEX_POINT('',#656); +#6063=VERTEX_POINT('',#658); +#6064=VERTEX_POINT('',#660); +#6065=VERTEX_POINT('',#4169); +#6066=VERTEX_POINT('',#4175); +#6067=VERTEX_POINT('',#4173); +#6068=VERTEX_POINT('',#4171); +#6069=VERTEX_POINT('',#662); +#6070=VERTEX_POINT('',#664); +#6071=VERTEX_POINT('',#666); +#6072=VERTEX_POINT('',#668); +#6073=VERTEX_POINT('',#4181); +#6074=VERTEX_POINT('',#4187); +#6075=VERTEX_POINT('',#4185); +#6076=VERTEX_POINT('',#4183); +#6077=VERTEX_POINT('',#670); +#6078=VERTEX_POINT('',#672); +#6079=VERTEX_POINT('',#674); +#6080=VERTEX_POINT('',#676); +#6081=VERTEX_POINT('',#4193); +#6082=VERTEX_POINT('',#4199); +#6083=VERTEX_POINT('',#4197); +#6084=VERTEX_POINT('',#4195); +#6085=VERTEX_POINT('',#678); +#6086=VERTEX_POINT('',#680); +#6087=VERTEX_POINT('',#682); +#6088=VERTEX_POINT('',#684); +#6089=VERTEX_POINT('',#4205); +#6090=VERTEX_POINT('',#4211); +#6091=VERTEX_POINT('',#4209); +#6092=VERTEX_POINT('',#4207); +#6093=VERTEX_POINT('',#686); +#6094=VERTEX_POINT('',#688); +#6095=VERTEX_POINT('',#690); +#6096=VERTEX_POINT('',#692); +#6097=VERTEX_POINT('',#4217); +#6098=VERTEX_POINT('',#4223); +#6099=VERTEX_POINT('',#4221); +#6100=VERTEX_POINT('',#4219); +#6101=VERTEX_POINT('',#694); +#6102=VERTEX_POINT('',#696); +#6103=VERTEX_POINT('',#698); +#6104=VERTEX_POINT('',#700); +#6105=VERTEX_POINT('',#4229); +#6106=VERTEX_POINT('',#4235); +#6107=VERTEX_POINT('',#4233); +#6108=VERTEX_POINT('',#4231); +#6109=VERTEX_POINT('',#702); +#6110=VERTEX_POINT('',#704); +#6111=VERTEX_POINT('',#706); +#6112=VERTEX_POINT('',#708); +#6113=VERTEX_POINT('',#4241); +#6114=VERTEX_POINT('',#4247); +#6115=VERTEX_POINT('',#4245); +#6116=VERTEX_POINT('',#4243); +#6117=VERTEX_POINT('',#710); +#6118=VERTEX_POINT('',#712); +#6119=VERTEX_POINT('',#714); +#6120=VERTEX_POINT('',#716); +#6121=VERTEX_POINT('',#4253); +#6122=VERTEX_POINT('',#4259); +#6123=VERTEX_POINT('',#4257); +#6124=VERTEX_POINT('',#4255); +#6125=VERTEX_POINT('',#718); +#6126=VERTEX_POINT('',#720); +#6127=VERTEX_POINT('',#722); +#6128=VERTEX_POINT('',#724); +#6129=VERTEX_POINT('',#4265); +#6130=VERTEX_POINT('',#4271); +#6131=VERTEX_POINT('',#4269); +#6132=VERTEX_POINT('',#4267); +#6133=VERTEX_POINT('',#726); +#6134=VERTEX_POINT('',#728); +#6135=VERTEX_POINT('',#730); +#6136=VERTEX_POINT('',#732); +#6137=VERTEX_POINT('',#4277); +#6138=VERTEX_POINT('',#4283); +#6139=VERTEX_POINT('',#4281); +#6140=VERTEX_POINT('',#4279); +#6141=VERTEX_POINT('',#734); +#6142=VERTEX_POINT('',#736); +#6143=VERTEX_POINT('',#738); +#6144=VERTEX_POINT('',#740); +#6145=VERTEX_POINT('',#4289); +#6146=VERTEX_POINT('',#4295); +#6147=VERTEX_POINT('',#4293); +#6148=VERTEX_POINT('',#4291); +#6149=VERTEX_POINT('',#742); +#6150=VERTEX_POINT('',#744); +#6151=VERTEX_POINT('',#746); +#6152=VERTEX_POINT('',#748); +#6153=VERTEX_POINT('',#4301); +#6154=VERTEX_POINT('',#4307); +#6155=VERTEX_POINT('',#4305); +#6156=VERTEX_POINT('',#4303); +#6157=VERTEX_POINT('',#750); +#6158=VERTEX_POINT('',#752); +#6159=VERTEX_POINT('',#754); +#6160=VERTEX_POINT('',#756); +#6161=VERTEX_POINT('',#4313); +#6162=VERTEX_POINT('',#4319); +#6163=VERTEX_POINT('',#4317); +#6164=VERTEX_POINT('',#4315); +#6165=VERTEX_POINT('',#758); +#6166=VERTEX_POINT('',#760); +#6167=VERTEX_POINT('',#762); +#6168=VERTEX_POINT('',#764); +#6169=VERTEX_POINT('',#4325); +#6170=VERTEX_POINT('',#4331); +#6171=VERTEX_POINT('',#4329); +#6172=VERTEX_POINT('',#4327); +#6173=VERTEX_POINT('',#766); +#6174=VERTEX_POINT('',#768); +#6175=VERTEX_POINT('',#770); +#6176=VERTEX_POINT('',#772); +#6177=VERTEX_POINT('',#4337); +#6178=VERTEX_POINT('',#4343); +#6179=VERTEX_POINT('',#4341); +#6180=VERTEX_POINT('',#4339); +#6181=VERTEX_POINT('',#774); +#6182=VERTEX_POINT('',#776); +#6183=VERTEX_POINT('',#778); +#6184=VERTEX_POINT('',#780); +#6185=VERTEX_POINT('',#4349); +#6186=VERTEX_POINT('',#4355); +#6187=VERTEX_POINT('',#4353); +#6188=VERTEX_POINT('',#4351); +#6189=VERTEX_POINT('',#782); +#6190=VERTEX_POINT('',#784); +#6191=VERTEX_POINT('',#786); +#6192=VERTEX_POINT('',#788); +#6193=VERTEX_POINT('',#4361); +#6194=VERTEX_POINT('',#4367); +#6195=VERTEX_POINT('',#4365); +#6196=VERTEX_POINT('',#4363); +#6197=VERTEX_POINT('',#790); +#6198=VERTEX_POINT('',#792); +#6199=VERTEX_POINT('',#794); +#6200=VERTEX_POINT('',#796); +#6201=VERTEX_POINT('',#4373); +#6202=VERTEX_POINT('',#4379); +#6203=VERTEX_POINT('',#4377); +#6204=VERTEX_POINT('',#4375); +#6205=VERTEX_POINT('',#798); +#6206=VERTEX_POINT('',#800); +#6207=VERTEX_POINT('',#802); +#6208=VERTEX_POINT('',#804); +#6209=VERTEX_POINT('',#4385); +#6210=VERTEX_POINT('',#4391); +#6211=VERTEX_POINT('',#4389); +#6212=VERTEX_POINT('',#4387); +#6213=VERTEX_POINT('',#806); +#6214=VERTEX_POINT('',#808); +#6215=VERTEX_POINT('',#810); +#6216=VERTEX_POINT('',#812); +#6217=VERTEX_POINT('',#4397); +#6218=VERTEX_POINT('',#4403); +#6219=VERTEX_POINT('',#4401); +#6220=VERTEX_POINT('',#4399); +#6221=VERTEX_POINT('',#814); +#6222=VERTEX_POINT('',#816); +#6223=VERTEX_POINT('',#818); +#6224=VERTEX_POINT('',#820); +#6225=VERTEX_POINT('',#4409); +#6226=VERTEX_POINT('',#4415); +#6227=VERTEX_POINT('',#4413); +#6228=VERTEX_POINT('',#4411); +#6229=VERTEX_POINT('',#822); +#6230=VERTEX_POINT('',#824); +#6231=VERTEX_POINT('',#826); +#6232=VERTEX_POINT('',#828); +#6233=VERTEX_POINT('',#4421); +#6234=VERTEX_POINT('',#4427); +#6235=VERTEX_POINT('',#4425); +#6236=VERTEX_POINT('',#4423); +#6237=VERTEX_POINT('',#830); +#6238=VERTEX_POINT('',#832); +#6239=VERTEX_POINT('',#834); +#6240=VERTEX_POINT('',#836); +#6241=VERTEX_POINT('',#4433); +#6242=VERTEX_POINT('',#4439); +#6243=VERTEX_POINT('',#4437); +#6244=VERTEX_POINT('',#4435); +#6245=VERTEX_POINT('',#838); +#6246=VERTEX_POINT('',#840); +#6247=VERTEX_POINT('',#842); +#6248=VERTEX_POINT('',#844); +#6249=VERTEX_POINT('',#4445); +#6250=VERTEX_POINT('',#4451); +#6251=VERTEX_POINT('',#4449); +#6252=VERTEX_POINT('',#4447); +#6253=VERTEX_POINT('',#846); +#6254=VERTEX_POINT('',#848); +#6255=VERTEX_POINT('',#850); +#6256=VERTEX_POINT('',#852); +#6257=VERTEX_POINT('',#4457); +#6258=VERTEX_POINT('',#4463); +#6259=VERTEX_POINT('',#4461); +#6260=VERTEX_POINT('',#4459); +#6261=VERTEX_POINT('',#854); +#6262=VERTEX_POINT('',#856); +#6263=VERTEX_POINT('',#858); +#6264=VERTEX_POINT('',#860); +#6265=VERTEX_POINT('',#4469); +#6266=VERTEX_POINT('',#4475); +#6267=VERTEX_POINT('',#4473); +#6268=VERTEX_POINT('',#4471); +#6269=VERTEX_POINT('',#862); +#6270=VERTEX_POINT('',#864); +#6271=VERTEX_POINT('',#866); +#6272=VERTEX_POINT('',#868); +#6273=VERTEX_POINT('',#4481); +#6274=VERTEX_POINT('',#4487); +#6275=VERTEX_POINT('',#4485); +#6276=VERTEX_POINT('',#4483); +#6277=VERTEX_POINT('',#870); +#6278=VERTEX_POINT('',#872); +#6279=VERTEX_POINT('',#874); +#6280=VERTEX_POINT('',#876); +#6281=VERTEX_POINT('',#4493); +#6282=VERTEX_POINT('',#4499); +#6283=VERTEX_POINT('',#4497); +#6284=VERTEX_POINT('',#4495); +#6285=VERTEX_POINT('',#878); +#6286=VERTEX_POINT('',#880); +#6287=VERTEX_POINT('',#882); +#6288=VERTEX_POINT('',#884); +#6289=VERTEX_POINT('',#4505); +#6290=VERTEX_POINT('',#4511); +#6291=VERTEX_POINT('',#4509); +#6292=VERTEX_POINT('',#4507); +#6293=VERTEX_POINT('',#886); +#6294=VERTEX_POINT('',#888); +#6295=VERTEX_POINT('',#890); +#6296=VERTEX_POINT('',#892); +#6297=VERTEX_POINT('',#4517); +#6298=VERTEX_POINT('',#4523); +#6299=VERTEX_POINT('',#4521); +#6300=VERTEX_POINT('',#4519); +#6301=VERTEX_POINT('',#894); +#6302=VERTEX_POINT('',#896); +#6303=VERTEX_POINT('',#898); +#6304=VERTEX_POINT('',#900); +#6305=VERTEX_POINT('',#4529); +#6306=VERTEX_POINT('',#4535); +#6307=VERTEX_POINT('',#4533); +#6308=VERTEX_POINT('',#4531); +#6309=VERTEX_POINT('',#902); +#6310=VERTEX_POINT('',#904); +#6311=VERTEX_POINT('',#906); +#6312=VERTEX_POINT('',#908); +#6313=VERTEX_POINT('',#4541); +#6314=VERTEX_POINT('',#4547); +#6315=VERTEX_POINT('',#4545); +#6316=VERTEX_POINT('',#4543); +#6317=VERTEX_POINT('',#910); +#6318=VERTEX_POINT('',#912); +#6319=VERTEX_POINT('',#914); +#6320=VERTEX_POINT('',#916); +#6321=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#6322=AXIS2_PLACEMENT_3D('',#6321,#2100,#84); +#6323=PLANE('',#6322); +#6324=ORIENTED_EDGE('',*,*,#17588,.F.); +#6325=ORIENTED_EDGE('',*,*,#17589,.F.); +#6326=ORIENTED_EDGE('',*,*,#17590,.F.); +#6327=ORIENTED_EDGE('',*,*,#17591,.F.); +#6328=ORIENTED_EDGE('',*,*,#17592,.F.); +#6329=ORIENTED_EDGE('',*,*,#17593,.F.); +#6330=ORIENTED_EDGE('',*,*,#17594,.F.); +#6331=ORIENTED_EDGE('',*,*,#17595,.F.); +#6332=EDGE_LOOP('',(#6324,#6325,#6326,#6327,#6328,#6329,#6330,#6331)); +#6333=FACE_OUTER_BOUND('',#6332,.F.); +#6334=ORIENTED_EDGE('',*,*,#17596,.T.); +#6335=ORIENTED_EDGE('',*,*,#17597,.T.); +#6336=ORIENTED_EDGE('',*,*,#17598,.T.); +#6337=ORIENTED_EDGE('',*,*,#17599,.T.); +#6338=EDGE_LOOP('',(#6334,#6335,#6336,#6337)); +#6339=FACE_BOUND('',#6338,.F.); +#6340=ORIENTED_EDGE('',*,*,#17600,.T.); +#6341=ORIENTED_EDGE('',*,*,#17601,.T.); +#6342=ORIENTED_EDGE('',*,*,#17602,.T.); +#6343=ORIENTED_EDGE('',*,*,#17603,.T.); +#6344=EDGE_LOOP('',(#6340,#6341,#6342,#6343)); +#6345=FACE_BOUND('',#6344,.F.); +#6346=ORIENTED_EDGE('',*,*,#17604,.T.); +#6347=ORIENTED_EDGE('',*,*,#17605,.T.); +#6348=ORIENTED_EDGE('',*,*,#17606,.T.); +#6349=ORIENTED_EDGE('',*,*,#17607,.T.); +#6350=EDGE_LOOP('',(#6346,#6347,#6348,#6349)); +#6351=FACE_BOUND('',#6350,.F.); +#6352=ORIENTED_EDGE('',*,*,#17608,.T.); +#6353=ORIENTED_EDGE('',*,*,#17609,.T.); +#6354=ORIENTED_EDGE('',*,*,#17610,.T.); +#6355=ORIENTED_EDGE('',*,*,#17611,.T.); +#6356=EDGE_LOOP('',(#6352,#6353,#6354,#6355)); +#6357=FACE_BOUND('',#6356,.F.); +#6358=ORIENTED_EDGE('',*,*,#17612,.T.); +#6359=ORIENTED_EDGE('',*,*,#17613,.T.); +#6360=ORIENTED_EDGE('',*,*,#17614,.T.); +#6361=ORIENTED_EDGE('',*,*,#17615,.T.); +#6362=EDGE_LOOP('',(#6358,#6359,#6360,#6361)); +#6363=FACE_BOUND('',#6362,.F.); +#6364=ORIENTED_EDGE('',*,*,#17616,.T.); +#6365=ORIENTED_EDGE('',*,*,#17617,.T.); +#6366=ORIENTED_EDGE('',*,*,#17618,.T.); +#6367=ORIENTED_EDGE('',*,*,#17619,.T.); +#6368=EDGE_LOOP('',(#6364,#6365,#6366,#6367)); +#6369=FACE_BOUND('',#6368,.F.); +#6370=ORIENTED_EDGE('',*,*,#17620,.T.); +#6371=ORIENTED_EDGE('',*,*,#17621,.T.); +#6372=ORIENTED_EDGE('',*,*,#17622,.T.); +#6373=ORIENTED_EDGE('',*,*,#17623,.T.); +#6374=EDGE_LOOP('',(#6370,#6371,#6372,#6373)); +#6375=FACE_BOUND('',#6374,.F.); +#6376=ORIENTED_EDGE('',*,*,#17624,.T.); +#6377=ORIENTED_EDGE('',*,*,#17625,.T.); +#6378=ORIENTED_EDGE('',*,*,#17626,.T.); +#6379=ORIENTED_EDGE('',*,*,#17627,.T.); +#6380=EDGE_LOOP('',(#6376,#6377,#6378,#6379)); +#6381=FACE_BOUND('',#6380,.F.); +#6382=ORIENTED_EDGE('',*,*,#17628,.T.); +#6383=ORIENTED_EDGE('',*,*,#17629,.T.); +#6384=ORIENTED_EDGE('',*,*,#17630,.T.); +#6385=ORIENTED_EDGE('',*,*,#17631,.T.); +#6386=EDGE_LOOP('',(#6382,#6383,#6384,#6385)); +#6387=FACE_BOUND('',#6386,.F.); +#6388=ORIENTED_EDGE('',*,*,#17632,.T.); +#6389=ORIENTED_EDGE('',*,*,#17633,.T.); +#6390=ORIENTED_EDGE('',*,*,#17634,.T.); +#6391=ORIENTED_EDGE('',*,*,#17635,.T.); +#6392=EDGE_LOOP('',(#6388,#6389,#6390,#6391)); +#6393=FACE_BOUND('',#6392,.F.); +#6394=ORIENTED_EDGE('',*,*,#17636,.T.); +#6395=ORIENTED_EDGE('',*,*,#17637,.T.); +#6396=ORIENTED_EDGE('',*,*,#17638,.T.); +#6397=ORIENTED_EDGE('',*,*,#17639,.T.); +#6398=EDGE_LOOP('',(#6394,#6395,#6396,#6397)); +#6399=FACE_BOUND('',#6398,.F.); +#6400=ORIENTED_EDGE('',*,*,#17640,.T.); +#6401=ORIENTED_EDGE('',*,*,#17641,.T.); +#6402=ORIENTED_EDGE('',*,*,#17642,.T.); +#6403=ORIENTED_EDGE('',*,*,#17643,.T.); +#6404=EDGE_LOOP('',(#6400,#6401,#6402,#6403)); +#6405=FACE_BOUND('',#6404,.F.); +#6406=ORIENTED_EDGE('',*,*,#17644,.T.); +#6407=ORIENTED_EDGE('',*,*,#17645,.T.); +#6408=ORIENTED_EDGE('',*,*,#17646,.T.); +#6409=ORIENTED_EDGE('',*,*,#17647,.T.); +#6410=EDGE_LOOP('',(#6406,#6407,#6408,#6409)); +#6411=FACE_BOUND('',#6410,.F.); +#6412=ORIENTED_EDGE('',*,*,#17648,.T.); +#6413=ORIENTED_EDGE('',*,*,#17649,.T.); +#6414=ORIENTED_EDGE('',*,*,#17650,.T.); +#6415=ORIENTED_EDGE('',*,*,#17651,.T.); +#6416=EDGE_LOOP('',(#6412,#6413,#6414,#6415)); +#6417=FACE_BOUND('',#6416,.F.); +#6418=ORIENTED_EDGE('',*,*,#17652,.T.); +#6419=ORIENTED_EDGE('',*,*,#17653,.T.); +#6420=ORIENTED_EDGE('',*,*,#17654,.T.); +#6421=ORIENTED_EDGE('',*,*,#17655,.T.); +#6422=EDGE_LOOP('',(#6418,#6419,#6420,#6421)); +#6423=FACE_BOUND('',#6422,.F.); +#6424=ORIENTED_EDGE('',*,*,#17656,.T.); +#6425=ORIENTED_EDGE('',*,*,#17657,.T.); +#6426=ORIENTED_EDGE('',*,*,#17658,.T.); +#6427=ORIENTED_EDGE('',*,*,#17659,.T.); +#6428=EDGE_LOOP('',(#6424,#6425,#6426,#6427)); +#6429=FACE_BOUND('',#6428,.F.); +#6430=ORIENTED_EDGE('',*,*,#17660,.T.); +#6431=ORIENTED_EDGE('',*,*,#17661,.T.); +#6432=ORIENTED_EDGE('',*,*,#17662,.T.); +#6433=ORIENTED_EDGE('',*,*,#17663,.T.); +#6434=EDGE_LOOP('',(#6430,#6431,#6432,#6433)); +#6435=FACE_BOUND('',#6434,.F.); +#6436=ORIENTED_EDGE('',*,*,#17664,.T.); +#6437=ORIENTED_EDGE('',*,*,#17665,.T.); +#6438=ORIENTED_EDGE('',*,*,#17666,.T.); +#6439=ORIENTED_EDGE('',*,*,#17667,.T.); +#6440=EDGE_LOOP('',(#6436,#6437,#6438,#6439)); +#6441=FACE_BOUND('',#6440,.F.); +#6442=ORIENTED_EDGE('',*,*,#17668,.T.); +#6443=ORIENTED_EDGE('',*,*,#17669,.T.); +#6444=ORIENTED_EDGE('',*,*,#17670,.T.); +#6445=ORIENTED_EDGE('',*,*,#17671,.T.); +#6446=EDGE_LOOP('',(#6442,#6443,#6444,#6445)); +#6447=FACE_BOUND('',#6446,.F.); +#6448=ORIENTED_EDGE('',*,*,#17672,.T.); +#6449=ORIENTED_EDGE('',*,*,#17673,.T.); +#6450=ORIENTED_EDGE('',*,*,#17674,.T.); +#6451=ORIENTED_EDGE('',*,*,#17675,.T.); +#6452=EDGE_LOOP('',(#6448,#6449,#6450,#6451)); +#6453=FACE_BOUND('',#6452,.F.); +#6454=ORIENTED_EDGE('',*,*,#17676,.T.); +#6455=ORIENTED_EDGE('',*,*,#17677,.T.); +#6456=ORIENTED_EDGE('',*,*,#17678,.T.); +#6457=ORIENTED_EDGE('',*,*,#17679,.T.); +#6458=EDGE_LOOP('',(#6454,#6455,#6456,#6457)); +#6459=FACE_BOUND('',#6458,.F.); +#6460=ORIENTED_EDGE('',*,*,#17680,.T.); +#6461=ORIENTED_EDGE('',*,*,#17681,.T.); +#6462=ORIENTED_EDGE('',*,*,#17682,.T.); +#6463=ORIENTED_EDGE('',*,*,#17683,.T.); +#6464=EDGE_LOOP('',(#6460,#6461,#6462,#6463)); +#6465=FACE_BOUND('',#6464,.F.); +#6466=ORIENTED_EDGE('',*,*,#17684,.T.); +#6467=ORIENTED_EDGE('',*,*,#17685,.T.); +#6468=ORIENTED_EDGE('',*,*,#17686,.T.); +#6469=ORIENTED_EDGE('',*,*,#17687,.T.); +#6470=EDGE_LOOP('',(#6466,#6467,#6468,#6469)); +#6471=FACE_BOUND('',#6470,.F.); +#6472=ORIENTED_EDGE('',*,*,#17688,.T.); +#6473=ORIENTED_EDGE('',*,*,#17689,.T.); +#6474=ORIENTED_EDGE('',*,*,#17690,.T.); +#6475=ORIENTED_EDGE('',*,*,#17691,.T.); +#6476=EDGE_LOOP('',(#6472,#6473,#6474,#6475)); +#6477=FACE_BOUND('',#6476,.F.); +#6478=ORIENTED_EDGE('',*,*,#17692,.T.); +#6479=ORIENTED_EDGE('',*,*,#17693,.T.); +#6480=ORIENTED_EDGE('',*,*,#17694,.T.); +#6481=ORIENTED_EDGE('',*,*,#17695,.T.); +#6482=EDGE_LOOP('',(#6478,#6479,#6480,#6481)); +#6483=FACE_BOUND('',#6482,.F.); +#6484=ORIENTED_EDGE('',*,*,#17696,.T.); +#6485=ORIENTED_EDGE('',*,*,#17697,.T.); +#6486=ORIENTED_EDGE('',*,*,#17698,.T.); +#6487=ORIENTED_EDGE('',*,*,#17699,.T.); +#6488=EDGE_LOOP('',(#6484,#6485,#6486,#6487)); +#6489=FACE_BOUND('',#6488,.F.); +#6490=ORIENTED_EDGE('',*,*,#17700,.T.); +#6491=ORIENTED_EDGE('',*,*,#17701,.T.); +#6492=ORIENTED_EDGE('',*,*,#17702,.T.); +#6493=ORIENTED_EDGE('',*,*,#17703,.T.); +#6494=EDGE_LOOP('',(#6490,#6491,#6492,#6493)); +#6495=FACE_BOUND('',#6494,.F.); +#6496=ORIENTED_EDGE('',*,*,#17704,.T.); +#6497=ORIENTED_EDGE('',*,*,#17705,.T.); +#6498=ORIENTED_EDGE('',*,*,#17706,.T.); +#6499=ORIENTED_EDGE('',*,*,#17707,.T.); +#6500=EDGE_LOOP('',(#6496,#6497,#6498,#6499)); +#6501=FACE_BOUND('',#6500,.F.); +#6502=ORIENTED_EDGE('',*,*,#17708,.T.); +#6503=ORIENTED_EDGE('',*,*,#17709,.T.); +#6504=ORIENTED_EDGE('',*,*,#17710,.T.); +#6505=ORIENTED_EDGE('',*,*,#17711,.T.); +#6506=EDGE_LOOP('',(#6502,#6503,#6504,#6505)); +#6507=FACE_BOUND('',#6506,.F.); +#6508=ORIENTED_EDGE('',*,*,#17712,.T.); +#6509=ORIENTED_EDGE('',*,*,#17713,.T.); +#6510=ORIENTED_EDGE('',*,*,#17714,.T.); +#6511=ORIENTED_EDGE('',*,*,#17715,.T.); +#6512=EDGE_LOOP('',(#6508,#6509,#6510,#6511)); +#6513=FACE_BOUND('',#6512,.F.); +#6514=ORIENTED_EDGE('',*,*,#17716,.T.); +#6515=ORIENTED_EDGE('',*,*,#17717,.T.); +#6516=ORIENTED_EDGE('',*,*,#17718,.T.); +#6517=ORIENTED_EDGE('',*,*,#17719,.T.); +#6518=EDGE_LOOP('',(#6514,#6515,#6516,#6517)); +#6519=FACE_BOUND('',#6518,.F.); +#6520=ORIENTED_EDGE('',*,*,#17720,.T.); +#6521=ORIENTED_EDGE('',*,*,#17721,.T.); +#6522=ORIENTED_EDGE('',*,*,#17722,.T.); +#6523=ORIENTED_EDGE('',*,*,#17723,.T.); +#6524=EDGE_LOOP('',(#6520,#6521,#6522,#6523)); +#6525=FACE_BOUND('',#6524,.F.); +#6526=ORIENTED_EDGE('',*,*,#17724,.T.); +#6527=ORIENTED_EDGE('',*,*,#17725,.T.); +#6528=ORIENTED_EDGE('',*,*,#17726,.T.); +#6529=ORIENTED_EDGE('',*,*,#17727,.T.); +#6530=EDGE_LOOP('',(#6526,#6527,#6528,#6529)); +#6531=FACE_BOUND('',#6530,.F.); +#6532=ORIENTED_EDGE('',*,*,#17728,.T.); +#6533=ORIENTED_EDGE('',*,*,#17729,.T.); +#6534=ORIENTED_EDGE('',*,*,#17730,.T.); +#6535=ORIENTED_EDGE('',*,*,#17731,.T.); +#6536=EDGE_LOOP('',(#6532,#6533,#6534,#6535)); +#6537=FACE_BOUND('',#6536,.F.); +#6538=ORIENTED_EDGE('',*,*,#17732,.T.); +#6539=ORIENTED_EDGE('',*,*,#17733,.T.); +#6540=ORIENTED_EDGE('',*,*,#17734,.T.); +#6541=ORIENTED_EDGE('',*,*,#17735,.T.); +#6542=EDGE_LOOP('',(#6538,#6539,#6540,#6541)); +#6543=FACE_BOUND('',#6542,.F.); +#6544=ORIENTED_EDGE('',*,*,#17736,.T.); +#6545=ORIENTED_EDGE('',*,*,#17737,.T.); +#6546=ORIENTED_EDGE('',*,*,#17738,.T.); +#6547=ORIENTED_EDGE('',*,*,#17739,.T.); +#6548=EDGE_LOOP('',(#6544,#6545,#6546,#6547)); +#6549=FACE_BOUND('',#6548,.F.); +#6550=ORIENTED_EDGE('',*,*,#17740,.T.); +#6551=ORIENTED_EDGE('',*,*,#17741,.T.); +#6552=ORIENTED_EDGE('',*,*,#17742,.T.); +#6553=ORIENTED_EDGE('',*,*,#17743,.T.); +#6554=EDGE_LOOP('',(#6550,#6551,#6552,#6553)); +#6555=FACE_BOUND('',#6554,.F.); +#6556=ORIENTED_EDGE('',*,*,#17744,.T.); +#6557=ORIENTED_EDGE('',*,*,#17745,.T.); +#6558=ORIENTED_EDGE('',*,*,#17746,.T.); +#6559=ORIENTED_EDGE('',*,*,#17747,.T.); +#6560=EDGE_LOOP('',(#6556,#6557,#6558,#6559)); +#6561=FACE_BOUND('',#6560,.F.); +#6562=ORIENTED_EDGE('',*,*,#17748,.T.); +#6563=ORIENTED_EDGE('',*,*,#17749,.T.); +#6564=ORIENTED_EDGE('',*,*,#17750,.T.); +#6565=ORIENTED_EDGE('',*,*,#17751,.T.); +#6566=EDGE_LOOP('',(#6562,#6563,#6564,#6565)); +#6567=FACE_BOUND('',#6566,.F.); +#6568=ORIENTED_EDGE('',*,*,#17752,.T.); +#6569=ORIENTED_EDGE('',*,*,#17753,.T.); +#6570=ORIENTED_EDGE('',*,*,#17754,.T.); +#6571=ORIENTED_EDGE('',*,*,#17755,.T.); +#6572=EDGE_LOOP('',(#6568,#6569,#6570,#6571)); +#6573=FACE_BOUND('',#6572,.F.); +#6574=ORIENTED_EDGE('',*,*,#17756,.T.); +#6575=ORIENTED_EDGE('',*,*,#17757,.T.); +#6576=ORIENTED_EDGE('',*,*,#17758,.T.); +#6577=ORIENTED_EDGE('',*,*,#17759,.T.); +#6578=EDGE_LOOP('',(#6574,#6575,#6576,#6577)); +#6579=FACE_BOUND('',#6578,.F.); +#6580=ORIENTED_EDGE('',*,*,#17760,.T.); +#6581=ORIENTED_EDGE('',*,*,#17761,.T.); +#6582=ORIENTED_EDGE('',*,*,#17762,.T.); +#6583=ORIENTED_EDGE('',*,*,#17763,.T.); +#6584=EDGE_LOOP('',(#6580,#6581,#6582,#6583)); +#6585=FACE_BOUND('',#6584,.F.); +#6586=ORIENTED_EDGE('',*,*,#17764,.T.); +#6587=ORIENTED_EDGE('',*,*,#17765,.T.); +#6588=ORIENTED_EDGE('',*,*,#17766,.T.); +#6589=ORIENTED_EDGE('',*,*,#17767,.T.); +#6590=EDGE_LOOP('',(#6586,#6587,#6588,#6589)); +#6591=FACE_BOUND('',#6590,.F.); +#6592=ORIENTED_EDGE('',*,*,#17768,.T.); +#6593=ORIENTED_EDGE('',*,*,#17769,.T.); +#6594=ORIENTED_EDGE('',*,*,#17770,.T.); +#6595=ORIENTED_EDGE('',*,*,#17771,.T.); +#6596=EDGE_LOOP('',(#6592,#6593,#6594,#6595)); +#6597=FACE_BOUND('',#6596,.F.); +#6598=ORIENTED_EDGE('',*,*,#17772,.T.); +#6599=ORIENTED_EDGE('',*,*,#17773,.T.); +#6600=ORIENTED_EDGE('',*,*,#17774,.T.); +#6601=ORIENTED_EDGE('',*,*,#17775,.T.); +#6602=EDGE_LOOP('',(#6598,#6599,#6600,#6601)); +#6603=FACE_BOUND('',#6602,.F.); +#6604=ORIENTED_EDGE('',*,*,#17776,.T.); +#6605=ORIENTED_EDGE('',*,*,#17777,.T.); +#6606=ORIENTED_EDGE('',*,*,#17778,.T.); +#6607=ORIENTED_EDGE('',*,*,#17779,.T.); +#6608=EDGE_LOOP('',(#6604,#6605,#6606,#6607)); +#6609=FACE_BOUND('',#6608,.F.); +#6610=ORIENTED_EDGE('',*,*,#17780,.T.); +#6611=ORIENTED_EDGE('',*,*,#17781,.T.); +#6612=ORIENTED_EDGE('',*,*,#17782,.T.); +#6613=ORIENTED_EDGE('',*,*,#17783,.T.); +#6614=EDGE_LOOP('',(#6610,#6611,#6612,#6613)); +#6615=FACE_BOUND('',#6614,.F.); +#6616=ORIENTED_EDGE('',*,*,#17784,.T.); +#6617=ORIENTED_EDGE('',*,*,#17785,.T.); +#6618=ORIENTED_EDGE('',*,*,#17786,.T.); +#6619=ORIENTED_EDGE('',*,*,#17787,.T.); +#6620=EDGE_LOOP('',(#6616,#6617,#6618,#6619)); +#6621=FACE_BOUND('',#6620,.F.); +#6622=ORIENTED_EDGE('',*,*,#17788,.T.); +#6623=ORIENTED_EDGE('',*,*,#17789,.T.); +#6624=ORIENTED_EDGE('',*,*,#17790,.T.); +#6625=ORIENTED_EDGE('',*,*,#17791,.T.); +#6626=EDGE_LOOP('',(#6622,#6623,#6624,#6625)); +#6627=FACE_BOUND('',#6626,.F.); +#6628=ORIENTED_EDGE('',*,*,#17792,.T.); +#6629=ORIENTED_EDGE('',*,*,#17793,.T.); +#6630=ORIENTED_EDGE('',*,*,#17794,.T.); +#6631=ORIENTED_EDGE('',*,*,#17795,.T.); +#6632=EDGE_LOOP('',(#6628,#6629,#6630,#6631)); +#6633=FACE_BOUND('',#6632,.F.); +#6634=ORIENTED_EDGE('',*,*,#17796,.T.); +#6635=ORIENTED_EDGE('',*,*,#17797,.T.); +#6636=ORIENTED_EDGE('',*,*,#17798,.T.); +#6637=ORIENTED_EDGE('',*,*,#17799,.T.); +#6638=EDGE_LOOP('',(#6634,#6635,#6636,#6637)); +#6639=FACE_BOUND('',#6638,.F.); +#6640=ORIENTED_EDGE('',*,*,#17800,.T.); +#6641=ORIENTED_EDGE('',*,*,#17801,.T.); +#6642=ORIENTED_EDGE('',*,*,#17802,.T.); +#6643=ORIENTED_EDGE('',*,*,#17803,.T.); +#6644=EDGE_LOOP('',(#6640,#6641,#6642,#6643)); +#6645=FACE_BOUND('',#6644,.F.); +#6646=ORIENTED_EDGE('',*,*,#17804,.T.); +#6647=ORIENTED_EDGE('',*,*,#17805,.T.); +#6648=ORIENTED_EDGE('',*,*,#17806,.T.); +#6649=ORIENTED_EDGE('',*,*,#17807,.T.); +#6650=EDGE_LOOP('',(#6646,#6647,#6648,#6649)); +#6651=FACE_BOUND('',#6650,.F.); +#6652=ORIENTED_EDGE('',*,*,#17808,.T.); +#6653=ORIENTED_EDGE('',*,*,#17809,.T.); +#6654=ORIENTED_EDGE('',*,*,#17810,.T.); +#6655=ORIENTED_EDGE('',*,*,#17811,.T.); +#6656=EDGE_LOOP('',(#6652,#6653,#6654,#6655)); +#6657=FACE_BOUND('',#6656,.F.); +#6658=ORIENTED_EDGE('',*,*,#17812,.T.); +#6659=ORIENTED_EDGE('',*,*,#17813,.T.); +#6660=ORIENTED_EDGE('',*,*,#17814,.T.); +#6661=ORIENTED_EDGE('',*,*,#17815,.T.); +#6662=EDGE_LOOP('',(#6658,#6659,#6660,#6661)); +#6663=FACE_BOUND('',#6662,.F.); +#6664=ORIENTED_EDGE('',*,*,#17816,.T.); +#6665=ORIENTED_EDGE('',*,*,#17817,.T.); +#6666=ORIENTED_EDGE('',*,*,#17818,.T.); +#6667=ORIENTED_EDGE('',*,*,#17819,.T.); +#6668=EDGE_LOOP('',(#6664,#6665,#6666,#6667)); +#6669=FACE_BOUND('',#6668,.F.); +#6670=ORIENTED_EDGE('',*,*,#17820,.T.); +#6671=ORIENTED_EDGE('',*,*,#17821,.T.); +#6672=ORIENTED_EDGE('',*,*,#17822,.T.); +#6673=ORIENTED_EDGE('',*,*,#17823,.T.); +#6674=EDGE_LOOP('',(#6670,#6671,#6672,#6673)); +#6675=FACE_BOUND('',#6674,.F.); +#6676=ORIENTED_EDGE('',*,*,#17824,.T.); +#6677=ORIENTED_EDGE('',*,*,#17825,.T.); +#6678=ORIENTED_EDGE('',*,*,#17826,.T.); +#6679=ORIENTED_EDGE('',*,*,#17827,.T.); +#6680=EDGE_LOOP('',(#6676,#6677,#6678,#6679)); +#6681=FACE_BOUND('',#6680,.F.); +#6682=ORIENTED_EDGE('',*,*,#17828,.T.); +#6683=ORIENTED_EDGE('',*,*,#17829,.T.); +#6684=ORIENTED_EDGE('',*,*,#17830,.T.); +#6685=ORIENTED_EDGE('',*,*,#17831,.T.); +#6686=EDGE_LOOP('',(#6682,#6683,#6684,#6685)); +#6687=FACE_BOUND('',#6686,.F.); +#6688=ORIENTED_EDGE('',*,*,#17832,.T.); +#6689=ORIENTED_EDGE('',*,*,#17833,.T.); +#6690=ORIENTED_EDGE('',*,*,#17834,.T.); +#6691=ORIENTED_EDGE('',*,*,#17835,.T.); +#6692=EDGE_LOOP('',(#6688,#6689,#6690,#6691)); +#6693=FACE_BOUND('',#6692,.F.); +#6694=ORIENTED_EDGE('',*,*,#17836,.T.); +#6695=ORIENTED_EDGE('',*,*,#17837,.T.); +#6696=ORIENTED_EDGE('',*,*,#17838,.T.); +#6697=ORIENTED_EDGE('',*,*,#17839,.T.); +#6698=EDGE_LOOP('',(#6694,#6695,#6696,#6697)); +#6699=FACE_BOUND('',#6698,.F.); +#6700=ORIENTED_EDGE('',*,*,#17840,.T.); +#6701=ORIENTED_EDGE('',*,*,#17841,.T.); +#6702=ORIENTED_EDGE('',*,*,#17842,.T.); +#6703=ORIENTED_EDGE('',*,*,#17843,.T.); +#6704=EDGE_LOOP('',(#6700,#6701,#6702,#6703)); +#6705=FACE_BOUND('',#6704,.F.); +#6706=ORIENTED_EDGE('',*,*,#17844,.T.); +#6707=ORIENTED_EDGE('',*,*,#17845,.T.); +#6708=ORIENTED_EDGE('',*,*,#17846,.T.); +#6709=ORIENTED_EDGE('',*,*,#17847,.T.); +#6710=EDGE_LOOP('',(#6706,#6707,#6708,#6709)); +#6711=FACE_BOUND('',#6710,.F.); +#6712=ORIENTED_EDGE('',*,*,#17848,.T.); +#6713=ORIENTED_EDGE('',*,*,#17849,.T.); +#6714=ORIENTED_EDGE('',*,*,#17850,.T.); +#6715=ORIENTED_EDGE('',*,*,#17851,.T.); +#6716=EDGE_LOOP('',(#6712,#6713,#6714,#6715)); +#6717=FACE_BOUND('',#6716,.F.); +#6718=ORIENTED_EDGE('',*,*,#17852,.T.); +#6719=ORIENTED_EDGE('',*,*,#17853,.T.); +#6720=ORIENTED_EDGE('',*,*,#17854,.T.); +#6721=ORIENTED_EDGE('',*,*,#17855,.T.); +#6722=EDGE_LOOP('',(#6718,#6719,#6720,#6721)); +#6723=FACE_BOUND('',#6722,.F.); +#6724=ORIENTED_EDGE('',*,*,#17856,.T.); +#6725=ORIENTED_EDGE('',*,*,#17857,.T.); +#6726=ORIENTED_EDGE('',*,*,#17858,.T.); +#6727=ORIENTED_EDGE('',*,*,#17859,.T.); +#6728=EDGE_LOOP('',(#6724,#6725,#6726,#6727)); +#6729=FACE_BOUND('',#6728,.F.); +#6730=ORIENTED_EDGE('',*,*,#17860,.T.); +#6731=ORIENTED_EDGE('',*,*,#17861,.T.); +#6732=ORIENTED_EDGE('',*,*,#17862,.T.); +#6733=ORIENTED_EDGE('',*,*,#17863,.T.); +#6734=EDGE_LOOP('',(#6730,#6731,#6732,#6733)); +#6735=FACE_BOUND('',#6734,.F.); +#6736=ORIENTED_EDGE('',*,*,#17864,.T.); +#6737=ORIENTED_EDGE('',*,*,#17865,.T.); +#6738=ORIENTED_EDGE('',*,*,#17866,.T.); +#6739=ORIENTED_EDGE('',*,*,#17867,.T.); +#6740=EDGE_LOOP('',(#6736,#6737,#6738,#6739)); +#6741=FACE_BOUND('',#6740,.F.); +#6742=ORIENTED_EDGE('',*,*,#17868,.T.); +#6743=ORIENTED_EDGE('',*,*,#17869,.T.); +#6744=ORIENTED_EDGE('',*,*,#17870,.T.); +#6745=ORIENTED_EDGE('',*,*,#17871,.T.); +#6746=EDGE_LOOP('',(#6742,#6743,#6744,#6745)); +#6747=FACE_BOUND('',#6746,.F.); +#6748=ORIENTED_EDGE('',*,*,#17872,.T.); +#6749=ORIENTED_EDGE('',*,*,#17873,.T.); +#6750=ORIENTED_EDGE('',*,*,#17874,.T.); +#6751=ORIENTED_EDGE('',*,*,#17875,.T.); +#6752=EDGE_LOOP('',(#6748,#6749,#6750,#6751)); +#6753=FACE_BOUND('',#6752,.F.); +#6754=ORIENTED_EDGE('',*,*,#17876,.T.); +#6755=ORIENTED_EDGE('',*,*,#17877,.T.); +#6756=ORIENTED_EDGE('',*,*,#17878,.T.); +#6757=ORIENTED_EDGE('',*,*,#17879,.T.); +#6758=EDGE_LOOP('',(#6754,#6755,#6756,#6757)); +#6759=FACE_BOUND('',#6758,.F.); +#6760=ORIENTED_EDGE('',*,*,#17880,.T.); +#6761=ORIENTED_EDGE('',*,*,#17881,.T.); +#6762=ORIENTED_EDGE('',*,*,#17882,.T.); +#6763=ORIENTED_EDGE('',*,*,#17883,.T.); +#6764=EDGE_LOOP('',(#6760,#6761,#6762,#6763)); +#6765=FACE_BOUND('',#6764,.F.); +#6766=ORIENTED_EDGE('',*,*,#17884,.T.); +#6767=ORIENTED_EDGE('',*,*,#17885,.T.); +#6768=ORIENTED_EDGE('',*,*,#17886,.T.); +#6769=ORIENTED_EDGE('',*,*,#17887,.T.); +#6770=EDGE_LOOP('',(#6766,#6767,#6768,#6769)); +#6771=FACE_BOUND('',#6770,.F.); +#6772=ORIENTED_EDGE('',*,*,#17888,.T.); +#6773=ORIENTED_EDGE('',*,*,#17889,.T.); +#6774=ORIENTED_EDGE('',*,*,#17890,.T.); +#6775=ORIENTED_EDGE('',*,*,#17891,.T.); +#6776=EDGE_LOOP('',(#6772,#6773,#6774,#6775)); +#6777=FACE_BOUND('',#6776,.F.); +#6778=ORIENTED_EDGE('',*,*,#17892,.T.); +#6779=ORIENTED_EDGE('',*,*,#17893,.T.); +#6780=ORIENTED_EDGE('',*,*,#17894,.T.); +#6781=ORIENTED_EDGE('',*,*,#17895,.T.); +#6782=EDGE_LOOP('',(#6778,#6779,#6780,#6781)); +#6783=FACE_BOUND('',#6782,.F.); +#6784=ORIENTED_EDGE('',*,*,#17896,.T.); +#6785=ORIENTED_EDGE('',*,*,#17897,.T.); +#6786=ORIENTED_EDGE('',*,*,#17898,.T.); +#6787=ORIENTED_EDGE('',*,*,#17899,.T.); +#6788=EDGE_LOOP('',(#6784,#6785,#6786,#6787)); +#6789=FACE_BOUND('',#6788,.F.); +#6790=ORIENTED_EDGE('',*,*,#17900,.T.); +#6791=ORIENTED_EDGE('',*,*,#17901,.T.); +#6792=ORIENTED_EDGE('',*,*,#17902,.T.); +#6793=ORIENTED_EDGE('',*,*,#17903,.T.); +#6794=EDGE_LOOP('',(#6790,#6791,#6792,#6793)); +#6795=FACE_BOUND('',#6794,.F.); +#6796=ORIENTED_EDGE('',*,*,#17904,.T.); +#6797=ORIENTED_EDGE('',*,*,#17905,.T.); +#6798=ORIENTED_EDGE('',*,*,#17906,.T.); +#6799=ORIENTED_EDGE('',*,*,#17907,.T.); +#6800=EDGE_LOOP('',(#6796,#6797,#6798,#6799)); +#6801=FACE_BOUND('',#6800,.F.); +#6802=ORIENTED_EDGE('',*,*,#17908,.T.); +#6803=ORIENTED_EDGE('',*,*,#17909,.T.); +#6804=ORIENTED_EDGE('',*,*,#17910,.T.); +#6805=ORIENTED_EDGE('',*,*,#17911,.T.); +#6806=EDGE_LOOP('',(#6802,#6803,#6804,#6805)); +#6807=FACE_BOUND('',#6806,.F.); +#6808=ORIENTED_EDGE('',*,*,#17912,.T.); +#6809=ORIENTED_EDGE('',*,*,#17913,.T.); +#6810=ORIENTED_EDGE('',*,*,#17914,.T.); +#6811=ORIENTED_EDGE('',*,*,#17915,.T.); +#6812=EDGE_LOOP('',(#6808,#6809,#6810,#6811)); +#6813=FACE_BOUND('',#6812,.F.); +#6814=ORIENTED_EDGE('',*,*,#17916,.T.); +#6815=ORIENTED_EDGE('',*,*,#17917,.T.); +#6816=ORIENTED_EDGE('',*,*,#17918,.T.); +#6817=ORIENTED_EDGE('',*,*,#17919,.T.); +#6818=EDGE_LOOP('',(#6814,#6815,#6816,#6817)); +#6819=FACE_BOUND('',#6818,.F.); +#6820=ORIENTED_EDGE('',*,*,#17920,.T.); +#6821=ORIENTED_EDGE('',*,*,#17921,.T.); +#6822=ORIENTED_EDGE('',*,*,#17922,.T.); +#6823=ORIENTED_EDGE('',*,*,#17923,.T.); +#6824=EDGE_LOOP('',(#6820,#6821,#6822,#6823)); +#6825=FACE_BOUND('',#6824,.F.); +#6826=ORIENTED_EDGE('',*,*,#17924,.T.); +#6827=ORIENTED_EDGE('',*,*,#17925,.T.); +#6828=ORIENTED_EDGE('',*,*,#17926,.T.); +#6829=ORIENTED_EDGE('',*,*,#17927,.T.); +#6830=EDGE_LOOP('',(#6826,#6827,#6828,#6829)); +#6831=FACE_BOUND('',#6830,.F.); +#6832=ORIENTED_EDGE('',*,*,#17928,.T.); +#6833=ORIENTED_EDGE('',*,*,#17929,.T.); +#6834=ORIENTED_EDGE('',*,*,#17930,.T.); +#6835=ORIENTED_EDGE('',*,*,#17931,.T.); +#6836=EDGE_LOOP('',(#6832,#6833,#6834,#6835)); +#6837=FACE_BOUND('',#6836,.F.); +#6838=ORIENTED_EDGE('',*,*,#17932,.T.); +#6839=ORIENTED_EDGE('',*,*,#17933,.T.); +#6840=ORIENTED_EDGE('',*,*,#17934,.T.); +#6841=ORIENTED_EDGE('',*,*,#17935,.T.); +#6842=EDGE_LOOP('',(#6838,#6839,#6840,#6841)); +#6843=FACE_BOUND('',#6842,.F.); +#6844=ORIENTED_EDGE('',*,*,#17936,.T.); +#6845=ORIENTED_EDGE('',*,*,#17937,.T.); +#6846=ORIENTED_EDGE('',*,*,#17938,.T.); +#6847=ORIENTED_EDGE('',*,*,#17939,.T.); +#6848=EDGE_LOOP('',(#6844,#6845,#6846,#6847)); +#6849=FACE_BOUND('',#6848,.F.); +#6850=ORIENTED_EDGE('',*,*,#17940,.T.); +#6851=ORIENTED_EDGE('',*,*,#17941,.T.); +#6852=ORIENTED_EDGE('',*,*,#17942,.T.); +#6853=ORIENTED_EDGE('',*,*,#17943,.T.); +#6854=EDGE_LOOP('',(#6850,#6851,#6852,#6853)); +#6855=FACE_BOUND('',#6854,.F.); +#6856=ORIENTED_EDGE('',*,*,#17944,.T.); +#6857=ORIENTED_EDGE('',*,*,#17945,.T.); +#6858=ORIENTED_EDGE('',*,*,#17946,.T.); +#6859=ORIENTED_EDGE('',*,*,#17947,.T.); +#6860=EDGE_LOOP('',(#6856,#6857,#6858,#6859)); +#6861=FACE_BOUND('',#6860,.F.); +#6862=ORIENTED_EDGE('',*,*,#17948,.T.); +#6863=ORIENTED_EDGE('',*,*,#17949,.T.); +#6864=ORIENTED_EDGE('',*,*,#17950,.T.); +#6865=ORIENTED_EDGE('',*,*,#17951,.T.); +#6866=EDGE_LOOP('',(#6862,#6863,#6864,#6865)); +#6867=FACE_BOUND('',#6866,.F.); +#6868=ORIENTED_EDGE('',*,*,#17952,.T.); +#6869=ORIENTED_EDGE('',*,*,#17953,.T.); +#6870=ORIENTED_EDGE('',*,*,#17954,.T.); +#6871=ORIENTED_EDGE('',*,*,#17955,.T.); +#6872=EDGE_LOOP('',(#6868,#6869,#6870,#6871)); +#6873=FACE_BOUND('',#6872,.F.); +#6874=ORIENTED_EDGE('',*,*,#17956,.T.); +#6875=ORIENTED_EDGE('',*,*,#17957,.T.); +#6876=ORIENTED_EDGE('',*,*,#17958,.T.); +#6877=ORIENTED_EDGE('',*,*,#17959,.T.); +#6878=EDGE_LOOP('',(#6874,#6875,#6876,#6877)); +#6879=FACE_BOUND('',#6878,.F.); +#6880=ORIENTED_EDGE('',*,*,#17960,.T.); +#6881=ORIENTED_EDGE('',*,*,#17961,.T.); +#6882=ORIENTED_EDGE('',*,*,#17962,.T.); +#6883=ORIENTED_EDGE('',*,*,#17963,.T.); +#6884=EDGE_LOOP('',(#6880,#6881,#6882,#6883)); +#6885=FACE_BOUND('',#6884,.F.); +#6886=ORIENTED_EDGE('',*,*,#17964,.T.); +#6887=ORIENTED_EDGE('',*,*,#17965,.T.); +#6888=ORIENTED_EDGE('',*,*,#17966,.T.); +#6889=ORIENTED_EDGE('',*,*,#17967,.T.); +#6890=EDGE_LOOP('',(#6886,#6887,#6888,#6889)); +#6891=FACE_BOUND('',#6890,.F.); +#6892=ORIENTED_EDGE('',*,*,#17968,.T.); +#6893=ORIENTED_EDGE('',*,*,#17969,.T.); +#6894=ORIENTED_EDGE('',*,*,#17970,.T.); +#6895=ORIENTED_EDGE('',*,*,#17971,.T.); +#6896=EDGE_LOOP('',(#6892,#6893,#6894,#6895)); +#6897=FACE_BOUND('',#6896,.F.); +#6898=ORIENTED_EDGE('',*,*,#17972,.T.); +#6899=ORIENTED_EDGE('',*,*,#17973,.T.); +#6900=ORIENTED_EDGE('',*,*,#17974,.T.); +#6901=ORIENTED_EDGE('',*,*,#17975,.T.); +#6902=EDGE_LOOP('',(#6898,#6899,#6900,#6901)); +#6903=FACE_BOUND('',#6902,.F.); +#6904=ORIENTED_EDGE('',*,*,#17976,.T.); +#6905=ORIENTED_EDGE('',*,*,#17977,.T.); +#6906=ORIENTED_EDGE('',*,*,#17978,.T.); +#6907=ORIENTED_EDGE('',*,*,#17979,.T.); +#6908=EDGE_LOOP('',(#6904,#6905,#6906,#6907)); +#6909=FACE_BOUND('',#6908,.F.); +#6910=ORIENTED_EDGE('',*,*,#17980,.T.); +#6911=ORIENTED_EDGE('',*,*,#17981,.T.); +#6912=ORIENTED_EDGE('',*,*,#17982,.T.); +#6913=ORIENTED_EDGE('',*,*,#17983,.T.); +#6914=EDGE_LOOP('',(#6910,#6911,#6912,#6913)); +#6915=FACE_BOUND('',#6914,.F.); +#6916=ORIENTED_EDGE('',*,*,#17984,.T.); +#6917=ORIENTED_EDGE('',*,*,#17985,.T.); +#6918=ORIENTED_EDGE('',*,*,#17986,.T.); +#6919=ORIENTED_EDGE('',*,*,#17987,.T.); +#6920=EDGE_LOOP('',(#6916,#6917,#6918,#6919)); +#6921=FACE_BOUND('',#6920,.F.); +#6922=ORIENTED_EDGE('',*,*,#17988,.T.); +#6923=ORIENTED_EDGE('',*,*,#17989,.T.); +#6924=ORIENTED_EDGE('',*,*,#17990,.T.); +#6925=ORIENTED_EDGE('',*,*,#17991,.T.); +#6926=EDGE_LOOP('',(#6922,#6923,#6924,#6925)); +#6927=FACE_BOUND('',#6926,.F.); +#6928=ORIENTED_EDGE('',*,*,#17992,.T.); +#6929=ORIENTED_EDGE('',*,*,#17993,.T.); +#6930=ORIENTED_EDGE('',*,*,#17994,.T.); +#6931=ORIENTED_EDGE('',*,*,#17995,.T.); +#6932=EDGE_LOOP('',(#6928,#6929,#6930,#6931)); +#6933=FACE_BOUND('',#6932,.F.); +#6934=ORIENTED_EDGE('',*,*,#17996,.T.); +#6935=ORIENTED_EDGE('',*,*,#17997,.T.); +#6936=ORIENTED_EDGE('',*,*,#17998,.T.); +#6937=ORIENTED_EDGE('',*,*,#17999,.T.); +#6938=EDGE_LOOP('',(#6934,#6935,#6936,#6937)); +#6939=FACE_BOUND('',#6938,.F.); +#6940=ORIENTED_EDGE('',*,*,#18000,.T.); +#6941=ORIENTED_EDGE('',*,*,#18001,.T.); +#6942=ORIENTED_EDGE('',*,*,#18002,.T.); +#6943=ORIENTED_EDGE('',*,*,#18003,.T.); +#6944=EDGE_LOOP('',(#6940,#6941,#6942,#6943)); +#6945=FACE_BOUND('',#6944,.F.); +#6946=ADVANCED_FACE('',(#6333,#6339,#6345,#6351,#6357,#6363,#6369,#6375,#6381,#6387,#6393,#6399,#6405,#6411,#6417,#6423,#6429,#6435,#6441,#6447,#6453,#6459,#6465,#6471,#6477,#6483,#6489,#6495,#6501,#6507,#6513,#6519,#6525,#6531,#6537,#6543,#6549,#6555,#6561,#6567,#6573,#6579,#6585,#6591,#6597,#6603,#6609,#6615,#6621,#6627,#6633,#6639,#6645,#6651,#6657,#6663,#6669,#6675,#6681,#6687,#6693,#6699,#6705,#6711,#6717,#6723,#6729,#6735,#6741,#6747,#6753,#6759,#6765,#6771,#6777,#6783,#6789,#6795,#6801,#6807,#6813,#6819,#6825,#6831,#6837,#6843,#6849,#6855,#6861,#6867,#6873,#6879,#6885,#6891,#6897,#6903,#6909,#6915,#6921,#6927,#6933,#6939,#6945),#6323,.T.); +#6947=AXIS2_PLACEMENT_3D('',#74,#76,#72); +#6948=PLANE('',#6947); +#6949=ORIENTED_EDGE('',*,*,#18004,.F.); +#6950=ORIENTED_EDGE('',*,*,#18005,.F.); +#6951=ORIENTED_EDGE('',*,*,#17588,.T.); +#6952=ORIENTED_EDGE('',*,*,#18006,.T.); +#6953=ORIENTED_EDGE('',*,*,#18007,.F.); +#6954=ORIENTED_EDGE('',*,*,#18008,.F.); +#6955=ORIENTED_EDGE('',*,*,#18009,.F.); +#6956=ORIENTED_EDGE('',*,*,#18010,.F.); +#6957=EDGE_LOOP('',(#6949,#6950,#6951,#6952,#6953,#6954,#6955,#6956)); +#6958=FACE_OUTER_BOUND('',#6957,.F.); +#6959=ADVANCED_FACE('',(#6958),#6948,.T.); +#6960=CARTESIAN_POINT('',(0.E0,0.E0,-1.105E1)); +#6961=AXIS2_PLACEMENT_3D('',#6960,#2100,#84); +#6962=PLANE('',#6961); +#6963=ORIENTED_EDGE('',*,*,#18004,.T.); +#6964=ORIENTED_EDGE('',*,*,#18011,.T.); +#6965=ORIENTED_EDGE('',*,*,#18012,.T.); +#6966=ORIENTED_EDGE('',*,*,#18013,.T.); +#6967=EDGE_LOOP('',(#6963,#6964,#6965,#6966)); +#6968=FACE_OUTER_BOUND('',#6967,.F.); +#6969=ADVANCED_FACE('',(#6968),#6962,.F.); +#6970=ORIENTED_EDGE('',*,*,#18007,.T.); +#6971=ORIENTED_EDGE('',*,*,#18014,.T.); +#6972=ORIENTED_EDGE('',*,*,#18015,.T.); +#6973=ORIENTED_EDGE('',*,*,#18016,.T.); +#6974=ORIENTED_EDGE('',*,*,#18017,.T.); +#6975=ORIENTED_EDGE('',*,*,#18018,.T.); +#6976=ORIENTED_EDGE('',*,*,#18019,.T.); +#6977=ORIENTED_EDGE('',*,*,#18020,.F.); +#6978=EDGE_LOOP('',(#6970,#6971,#6972,#6973,#6974,#6975,#6976,#6977)); +#6979=FACE_OUTER_BOUND('',#6978,.F.); +#6980=ADVANCED_FACE('',(#6979),#6962,.F.); +#6981=ORIENTED_EDGE('',*,*,#18021,.T.); +#6982=ORIENTED_EDGE('',*,*,#18022,.T.); +#6983=ORIENTED_EDGE('',*,*,#18023,.T.); +#6984=ORIENTED_EDGE('',*,*,#18024,.F.); +#6985=EDGE_LOOP('',(#6981,#6982,#6983,#6984)); +#6986=FACE_OUTER_BOUND('',#6985,.F.); +#6987=ADVANCED_FACE('',(#6986),#6962,.F.); +#6988=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#6989=AXIS2_PLACEMENT_3D('',#938,#6988,#928); +#6990=PLANE('',#6989); +#6991=ORIENTED_EDGE('',*,*,#18025,.T.); +#6992=ORIENTED_EDGE('',*,*,#18026,.T.); +#6993=ORIENTED_EDGE('',*,*,#18027,.T.); +#6994=ORIENTED_EDGE('',*,*,#18028,.F.); +#6995=ORIENTED_EDGE('',*,*,#18029,.F.); +#6996=ORIENTED_EDGE('',*,*,#18030,.T.); +#6997=ORIENTED_EDGE('',*,*,#18031,.T.); +#6998=ORIENTED_EDGE('',*,*,#18032,.F.); +#6999=ORIENTED_EDGE('',*,*,#18033,.F.); +#7000=ORIENTED_EDGE('',*,*,#18034,.T.); +#7001=ORIENTED_EDGE('',*,*,#18035,.T.); +#7002=ORIENTED_EDGE('',*,*,#18036,.F.); +#7003=ORIENTED_EDGE('',*,*,#18037,.F.); +#7004=ORIENTED_EDGE('',*,*,#18038,.T.); +#7005=ORIENTED_EDGE('',*,*,#18039,.T.); +#7006=ORIENTED_EDGE('',*,*,#18040,.F.); +#7007=ORIENTED_EDGE('',*,*,#18041,.F.); +#7008=ORIENTED_EDGE('',*,*,#18042,.T.); +#7009=ORIENTED_EDGE('',*,*,#18043,.T.); +#7010=ORIENTED_EDGE('',*,*,#18044,.F.); +#7011=ORIENTED_EDGE('',*,*,#18045,.F.); +#7012=ORIENTED_EDGE('',*,*,#18046,.T.); +#7013=ORIENTED_EDGE('',*,*,#18047,.T.); +#7014=ORIENTED_EDGE('',*,*,#18048,.F.); +#7015=ORIENTED_EDGE('',*,*,#18049,.F.); +#7016=ORIENTED_EDGE('',*,*,#18050,.T.); +#7017=ORIENTED_EDGE('',*,*,#18051,.T.); +#7018=ORIENTED_EDGE('',*,*,#18052,.F.); +#7019=ORIENTED_EDGE('',*,*,#18053,.F.); +#7020=ORIENTED_EDGE('',*,*,#18054,.T.); +#7021=ORIENTED_EDGE('',*,*,#18055,.T.); +#7022=ORIENTED_EDGE('',*,*,#18056,.F.); +#7023=ORIENTED_EDGE('',*,*,#18057,.F.); +#7024=ORIENTED_EDGE('',*,*,#18058,.T.); +#7025=ORIENTED_EDGE('',*,*,#18059,.T.); +#7026=ORIENTED_EDGE('',*,*,#18060,.F.); +#7027=ORIENTED_EDGE('',*,*,#18061,.F.); +#7028=ORIENTED_EDGE('',*,*,#18062,.T.); +#7029=ORIENTED_EDGE('',*,*,#18063,.T.); +#7030=ORIENTED_EDGE('',*,*,#18064,.F.); +#7031=ORIENTED_EDGE('',*,*,#18065,.F.); +#7032=ORIENTED_EDGE('',*,*,#18066,.T.); +#7033=ORIENTED_EDGE('',*,*,#18067,.T.); +#7034=ORIENTED_EDGE('',*,*,#18068,.F.); +#7035=ORIENTED_EDGE('',*,*,#18069,.F.); +#7036=ORIENTED_EDGE('',*,*,#18070,.T.); +#7037=ORIENTED_EDGE('',*,*,#18071,.T.); +#7038=ORIENTED_EDGE('',*,*,#18072,.F.); +#7039=ORIENTED_EDGE('',*,*,#18073,.F.); +#7040=ORIENTED_EDGE('',*,*,#18074,.T.); +#7041=ORIENTED_EDGE('',*,*,#18075,.T.); +#7042=ORIENTED_EDGE('',*,*,#18076,.F.); +#7043=ORIENTED_EDGE('',*,*,#18077,.F.); +#7044=ORIENTED_EDGE('',*,*,#18078,.T.); +#7045=ORIENTED_EDGE('',*,*,#18079,.T.); +#7046=ORIENTED_EDGE('',*,*,#18080,.F.); +#7047=ORIENTED_EDGE('',*,*,#18081,.F.); +#7048=ORIENTED_EDGE('',*,*,#18082,.T.); +#7049=ORIENTED_EDGE('',*,*,#18083,.T.); +#7050=ORIENTED_EDGE('',*,*,#18084,.F.); +#7051=ORIENTED_EDGE('',*,*,#18085,.F.); +#7052=ORIENTED_EDGE('',*,*,#18086,.T.); +#7053=ORIENTED_EDGE('',*,*,#18087,.T.); +#7054=ORIENTED_EDGE('',*,*,#18088,.F.); +#7055=ORIENTED_EDGE('',*,*,#18089,.F.); +#7056=ORIENTED_EDGE('',*,*,#18090,.T.); +#7057=ORIENTED_EDGE('',*,*,#18091,.T.); +#7058=ORIENTED_EDGE('',*,*,#18092,.F.); +#7059=ORIENTED_EDGE('',*,*,#18093,.F.); +#7060=ORIENTED_EDGE('',*,*,#18094,.T.); +#7061=ORIENTED_EDGE('',*,*,#18095,.T.); +#7062=ORIENTED_EDGE('',*,*,#18096,.F.); +#7063=ORIENTED_EDGE('',*,*,#18097,.F.); +#7064=ORIENTED_EDGE('',*,*,#18098,.T.); +#7065=ORIENTED_EDGE('',*,*,#18099,.T.); +#7066=ORIENTED_EDGE('',*,*,#18100,.F.); +#7067=ORIENTED_EDGE('',*,*,#18101,.F.); +#7068=ORIENTED_EDGE('',*,*,#18102,.T.); +#7069=ORIENTED_EDGE('',*,*,#18103,.T.); +#7070=ORIENTED_EDGE('',*,*,#18104,.F.); +#7071=ORIENTED_EDGE('',*,*,#18105,.F.); +#7072=ORIENTED_EDGE('',*,*,#18106,.T.); +#7073=ORIENTED_EDGE('',*,*,#18107,.T.); +#7074=ORIENTED_EDGE('',*,*,#18108,.F.); +#7075=ORIENTED_EDGE('',*,*,#18109,.F.); +#7076=ORIENTED_EDGE('',*,*,#18110,.T.); +#7077=ORIENTED_EDGE('',*,*,#18111,.T.); +#7078=ORIENTED_EDGE('',*,*,#18112,.F.); +#7079=ORIENTED_EDGE('',*,*,#18113,.F.); +#7080=ORIENTED_EDGE('',*,*,#18114,.F.); +#7081=ORIENTED_EDGE('',*,*,#18011,.F.); +#7082=ORIENTED_EDGE('',*,*,#18010,.T.); +#7083=ORIENTED_EDGE('',*,*,#18115,.F.); +#7084=ORIENTED_EDGE('',*,*,#18116,.T.); +#7085=ORIENTED_EDGE('',*,*,#18117,.T.); +#7086=ORIENTED_EDGE('',*,*,#18118,.F.); +#7087=ORIENTED_EDGE('',*,*,#18119,.F.); +#7088=ORIENTED_EDGE('',*,*,#18120,.T.); +#7089=ORIENTED_EDGE('',*,*,#18121,.T.); +#7090=ORIENTED_EDGE('',*,*,#18122,.F.); +#7091=ORIENTED_EDGE('',*,*,#18123,.F.); +#7092=ORIENTED_EDGE('',*,*,#18124,.T.); +#7093=ORIENTED_EDGE('',*,*,#18125,.T.); +#7094=ORIENTED_EDGE('',*,*,#18126,.F.); +#7095=ORIENTED_EDGE('',*,*,#18127,.F.); +#7096=ORIENTED_EDGE('',*,*,#18128,.T.); +#7097=ORIENTED_EDGE('',*,*,#18129,.T.); +#7098=ORIENTED_EDGE('',*,*,#18130,.F.); +#7099=ORIENTED_EDGE('',*,*,#18131,.F.); +#7100=ORIENTED_EDGE('',*,*,#18132,.T.); +#7101=ORIENTED_EDGE('',*,*,#18133,.T.); +#7102=ORIENTED_EDGE('',*,*,#18134,.F.); +#7103=ORIENTED_EDGE('',*,*,#18135,.F.); +#7104=ORIENTED_EDGE('',*,*,#18136,.T.); +#7105=ORIENTED_EDGE('',*,*,#18137,.T.); +#7106=ORIENTED_EDGE('',*,*,#18138,.F.); +#7107=ORIENTED_EDGE('',*,*,#18139,.F.); +#7108=ORIENTED_EDGE('',*,*,#18140,.T.); +#7109=ORIENTED_EDGE('',*,*,#18141,.T.); +#7110=ORIENTED_EDGE('',*,*,#18142,.F.); +#7111=ORIENTED_EDGE('',*,*,#18143,.F.); +#7112=ORIENTED_EDGE('',*,*,#18144,.T.); +#7113=ORIENTED_EDGE('',*,*,#18145,.T.); +#7114=ORIENTED_EDGE('',*,*,#18146,.F.); +#7115=ORIENTED_EDGE('',*,*,#18147,.F.); +#7116=ORIENTED_EDGE('',*,*,#18148,.T.); +#7117=ORIENTED_EDGE('',*,*,#18149,.T.); +#7118=ORIENTED_EDGE('',*,*,#18150,.F.); +#7119=EDGE_LOOP('',(#6991,#6992,#6993,#6994,#6995,#6996,#6997,#6998,#6999,#7000,#7001,#7002,#7003,#7004,#7005,#7006,#7007,#7008,#7009,#7010,#7011,#7012,#7013,#7014,#7015,#7016,#7017,#7018,#7019,#7020,#7021,#7022,#7023,#7024,#7025,#7026,#7027,#7028,#7029,#7030,#7031,#7032,#7033,#7034,#7035,#7036,#7037,#7038,#7039,#7040,#7041,#7042,#7043,#7044,#7045,#7046,#7047,#7048,#7049,#7050,#7051,#7052,#7053,#7054,#7055,#7056,#7057,#7058,#7059,#7060,#7061,#7062,#7063,#7064,#7065,#7066,#7067,#7068,#7069,#7070,#7071,#7072,#7073,#7074,#7075,#7076,#7077,#7078,#7079,#7080,#7081,#7082,#7083,#7084,#7085,#7086,#7087,#7088,#7089,#7090,#7091,#7092,#7093,#7094,#7095,#7096,#7097,#7098,#7099,#7100,#7101,#7102,#7103,#7104,#7105,#7106,#7107,#7108,#7109,#7110,#7111,#7112,#7113,#7114,#7115,#7116,#7117,#7118)); +#7120=FACE_OUTER_BOUND('',#7119,.F.); +#7121=ADVANCED_FACE('',(#7120),#6990,.F.); +#7122=AXIS2_PLACEMENT_3D('',#1470,#2100,#72); +#7123=PLANE('',#7122); +#7124=ORIENTED_EDGE('',*,*,#18025,.F.); +#7125=ORIENTED_EDGE('',*,*,#18151,.T.); +#7126=ORIENTED_EDGE('',*,*,#18152,.T.); +#7127=ORIENTED_EDGE('',*,*,#18153,.F.); +#7128=ORIENTED_EDGE('',*,*,#18147,.T.); +#7129=ORIENTED_EDGE('',*,*,#18154,.T.); +#7130=ORIENTED_EDGE('',*,*,#18155,.T.); +#7131=ORIENTED_EDGE('',*,*,#18156,.F.); +#7132=ORIENTED_EDGE('',*,*,#18143,.T.); +#7133=ORIENTED_EDGE('',*,*,#18157,.T.); +#7134=ORIENTED_EDGE('',*,*,#18158,.T.); +#7135=ORIENTED_EDGE('',*,*,#18159,.F.); +#7136=ORIENTED_EDGE('',*,*,#18139,.T.); +#7137=ORIENTED_EDGE('',*,*,#18160,.T.); +#7138=ORIENTED_EDGE('',*,*,#18161,.T.); +#7139=ORIENTED_EDGE('',*,*,#18162,.F.); +#7140=ORIENTED_EDGE('',*,*,#18135,.T.); +#7141=ORIENTED_EDGE('',*,*,#18163,.T.); +#7142=ORIENTED_EDGE('',*,*,#18164,.T.); +#7143=ORIENTED_EDGE('',*,*,#18165,.F.); +#7144=ORIENTED_EDGE('',*,*,#18131,.T.); +#7145=ORIENTED_EDGE('',*,*,#18166,.T.); +#7146=ORIENTED_EDGE('',*,*,#18167,.T.); +#7147=ORIENTED_EDGE('',*,*,#18168,.F.); +#7148=ORIENTED_EDGE('',*,*,#18127,.T.); +#7149=ORIENTED_EDGE('',*,*,#18169,.T.); +#7150=ORIENTED_EDGE('',*,*,#18170,.T.); +#7151=ORIENTED_EDGE('',*,*,#18171,.F.); +#7152=ORIENTED_EDGE('',*,*,#18123,.T.); +#7153=ORIENTED_EDGE('',*,*,#18172,.T.); +#7154=ORIENTED_EDGE('',*,*,#18173,.T.); +#7155=ORIENTED_EDGE('',*,*,#18174,.F.); +#7156=ORIENTED_EDGE('',*,*,#18119,.T.); +#7157=ORIENTED_EDGE('',*,*,#18175,.T.); +#7158=ORIENTED_EDGE('',*,*,#18176,.T.); +#7159=ORIENTED_EDGE('',*,*,#18177,.F.); +#7160=ORIENTED_EDGE('',*,*,#18115,.T.); +#7161=ORIENTED_EDGE('',*,*,#18009,.T.); +#7162=ORIENTED_EDGE('',*,*,#18178,.F.); +#7163=ORIENTED_EDGE('',*,*,#18179,.F.); +#7164=ORIENTED_EDGE('',*,*,#18113,.T.); +#7165=ORIENTED_EDGE('',*,*,#18180,.T.); +#7166=ORIENTED_EDGE('',*,*,#18181,.T.); +#7167=ORIENTED_EDGE('',*,*,#18182,.F.); +#7168=ORIENTED_EDGE('',*,*,#18109,.T.); +#7169=ORIENTED_EDGE('',*,*,#18183,.T.); +#7170=ORIENTED_EDGE('',*,*,#18184,.T.); +#7171=ORIENTED_EDGE('',*,*,#18185,.F.); +#7172=ORIENTED_EDGE('',*,*,#18105,.T.); +#7173=ORIENTED_EDGE('',*,*,#18186,.T.); +#7174=ORIENTED_EDGE('',*,*,#18187,.T.); +#7175=ORIENTED_EDGE('',*,*,#18188,.F.); +#7176=ORIENTED_EDGE('',*,*,#18101,.T.); +#7177=ORIENTED_EDGE('',*,*,#18189,.T.); +#7178=ORIENTED_EDGE('',*,*,#18190,.T.); +#7179=ORIENTED_EDGE('',*,*,#18191,.F.); +#7180=ORIENTED_EDGE('',*,*,#18097,.T.); +#7181=ORIENTED_EDGE('',*,*,#18192,.T.); +#7182=ORIENTED_EDGE('',*,*,#18193,.T.); +#7183=ORIENTED_EDGE('',*,*,#18194,.F.); +#7184=ORIENTED_EDGE('',*,*,#18093,.T.); +#7185=ORIENTED_EDGE('',*,*,#18195,.T.); +#7186=ORIENTED_EDGE('',*,*,#18196,.T.); +#7187=ORIENTED_EDGE('',*,*,#18197,.F.); +#7188=ORIENTED_EDGE('',*,*,#18089,.T.); +#7189=ORIENTED_EDGE('',*,*,#18198,.T.); +#7190=ORIENTED_EDGE('',*,*,#18199,.T.); +#7191=ORIENTED_EDGE('',*,*,#18200,.F.); +#7192=ORIENTED_EDGE('',*,*,#18085,.T.); +#7193=ORIENTED_EDGE('',*,*,#18201,.T.); +#7194=ORIENTED_EDGE('',*,*,#18202,.T.); +#7195=ORIENTED_EDGE('',*,*,#18203,.F.); +#7196=ORIENTED_EDGE('',*,*,#18081,.T.); +#7197=ORIENTED_EDGE('',*,*,#18204,.T.); +#7198=ORIENTED_EDGE('',*,*,#18205,.T.); +#7199=ORIENTED_EDGE('',*,*,#18206,.F.); +#7200=ORIENTED_EDGE('',*,*,#18077,.T.); +#7201=ORIENTED_EDGE('',*,*,#18207,.T.); +#7202=ORIENTED_EDGE('',*,*,#18208,.T.); +#7203=ORIENTED_EDGE('',*,*,#18209,.F.); +#7204=ORIENTED_EDGE('',*,*,#18073,.T.); +#7205=ORIENTED_EDGE('',*,*,#18210,.T.); +#7206=ORIENTED_EDGE('',*,*,#18211,.T.); +#7207=ORIENTED_EDGE('',*,*,#18212,.F.); +#7208=ORIENTED_EDGE('',*,*,#18069,.T.); +#7209=ORIENTED_EDGE('',*,*,#18213,.T.); +#7210=ORIENTED_EDGE('',*,*,#18214,.T.); +#7211=ORIENTED_EDGE('',*,*,#18215,.F.); +#7212=ORIENTED_EDGE('',*,*,#18065,.T.); +#7213=ORIENTED_EDGE('',*,*,#18216,.T.); +#7214=ORIENTED_EDGE('',*,*,#18217,.T.); +#7215=ORIENTED_EDGE('',*,*,#18218,.F.); +#7216=ORIENTED_EDGE('',*,*,#18061,.T.); +#7217=ORIENTED_EDGE('',*,*,#18219,.T.); +#7218=ORIENTED_EDGE('',*,*,#18220,.T.); +#7219=ORIENTED_EDGE('',*,*,#18221,.F.); +#7220=ORIENTED_EDGE('',*,*,#18057,.T.); +#7221=ORIENTED_EDGE('',*,*,#18222,.T.); +#7222=ORIENTED_EDGE('',*,*,#18223,.T.); +#7223=ORIENTED_EDGE('',*,*,#18224,.F.); +#7224=ORIENTED_EDGE('',*,*,#18053,.T.); +#7225=ORIENTED_EDGE('',*,*,#18225,.T.); +#7226=ORIENTED_EDGE('',*,*,#18226,.T.); +#7227=ORIENTED_EDGE('',*,*,#18227,.F.); +#7228=ORIENTED_EDGE('',*,*,#18049,.T.); +#7229=ORIENTED_EDGE('',*,*,#18228,.T.); +#7230=ORIENTED_EDGE('',*,*,#18229,.T.); +#7231=ORIENTED_EDGE('',*,*,#18230,.F.); +#7232=ORIENTED_EDGE('',*,*,#18045,.T.); +#7233=ORIENTED_EDGE('',*,*,#18231,.T.); +#7234=ORIENTED_EDGE('',*,*,#18232,.T.); +#7235=ORIENTED_EDGE('',*,*,#18233,.F.); +#7236=ORIENTED_EDGE('',*,*,#18041,.T.); +#7237=ORIENTED_EDGE('',*,*,#18234,.T.); +#7238=ORIENTED_EDGE('',*,*,#18235,.T.); +#7239=ORIENTED_EDGE('',*,*,#18236,.F.); +#7240=ORIENTED_EDGE('',*,*,#18037,.T.); +#7241=ORIENTED_EDGE('',*,*,#18237,.T.); +#7242=ORIENTED_EDGE('',*,*,#18238,.T.); +#7243=ORIENTED_EDGE('',*,*,#18239,.F.); +#7244=ORIENTED_EDGE('',*,*,#18033,.T.); +#7245=ORIENTED_EDGE('',*,*,#18240,.T.); +#7246=ORIENTED_EDGE('',*,*,#18241,.T.); +#7247=ORIENTED_EDGE('',*,*,#18242,.F.); +#7248=ORIENTED_EDGE('',*,*,#18029,.T.); +#7249=ORIENTED_EDGE('',*,*,#18243,.T.); +#7250=ORIENTED_EDGE('',*,*,#18244,.T.); +#7251=ORIENTED_EDGE('',*,*,#18245,.F.); +#7252=EDGE_LOOP('',(#7124,#7125,#7126,#7127,#7128,#7129,#7130,#7131,#7132,#7133,#7134,#7135,#7136,#7137,#7138,#7139,#7140,#7141,#7142,#7143,#7144,#7145,#7146,#7147,#7148,#7149,#7150,#7151,#7152,#7153,#7154,#7155,#7156,#7157,#7158,#7159,#7160,#7161,#7162,#7163,#7164,#7165,#7166,#7167,#7168,#7169,#7170,#7171,#7172,#7173,#7174,#7175,#7176,#7177,#7178,#7179,#7180,#7181,#7182,#7183,#7184,#7185,#7186,#7187,#7188,#7189,#7190,#7191,#7192,#7193,#7194,#7195,#7196,#7197,#7198,#7199,#7200,#7201,#7202,#7203,#7204,#7205,#7206,#7207,#7208,#7209,#7210,#7211,#7212,#7213,#7214,#7215,#7216,#7217,#7218,#7219,#7220,#7221,#7222,#7223,#7224,#7225,#7226,#7227,#7228,#7229,#7230,#7231,#7232,#7233,#7234,#7235,#7236,#7237,#7238,#7239,#7240,#7241,#7242,#7243,#7244,#7245,#7246,#7247,#7248,#7249,#7250,#7251)); +#7253=FACE_OUTER_BOUND('',#7252,.F.); +#7254=ORIENTED_EDGE('',*,*,#18246,.T.); +#7255=ORIENTED_EDGE('',*,*,#18247,.T.); +#7256=ORIENTED_EDGE('',*,*,#18248,.T.); +#7257=ORIENTED_EDGE('',*,*,#18249,.T.); +#7258=EDGE_LOOP('',(#7254,#7255,#7256,#7257)); +#7259=FACE_BOUND('',#7258,.F.); +#7260=ORIENTED_EDGE('',*,*,#18250,.T.); +#7261=ORIENTED_EDGE('',*,*,#18251,.T.); +#7262=ORIENTED_EDGE('',*,*,#18252,.T.); +#7263=ORIENTED_EDGE('',*,*,#18253,.T.); +#7264=EDGE_LOOP('',(#7260,#7261,#7262,#7263)); +#7265=FACE_BOUND('',#7264,.F.); +#7266=ORIENTED_EDGE('',*,*,#18254,.T.); +#7267=ORIENTED_EDGE('',*,*,#18255,.T.); +#7268=ORIENTED_EDGE('',*,*,#18256,.T.); +#7269=ORIENTED_EDGE('',*,*,#18257,.T.); +#7270=EDGE_LOOP('',(#7266,#7267,#7268,#7269)); +#7271=FACE_BOUND('',#7270,.F.); +#7272=ORIENTED_EDGE('',*,*,#18258,.T.); +#7273=ORIENTED_EDGE('',*,*,#18259,.T.); +#7274=ORIENTED_EDGE('',*,*,#18260,.T.); +#7275=ORIENTED_EDGE('',*,*,#18261,.T.); +#7276=EDGE_LOOP('',(#7272,#7273,#7274,#7275)); +#7277=FACE_BOUND('',#7276,.F.); +#7278=ORIENTED_EDGE('',*,*,#18262,.T.); +#7279=ORIENTED_EDGE('',*,*,#18263,.T.); +#7280=ORIENTED_EDGE('',*,*,#18264,.T.); +#7281=ORIENTED_EDGE('',*,*,#18265,.T.); +#7282=EDGE_LOOP('',(#7278,#7279,#7280,#7281)); +#7283=FACE_BOUND('',#7282,.F.); +#7284=ORIENTED_EDGE('',*,*,#18266,.T.); +#7285=ORIENTED_EDGE('',*,*,#18267,.T.); +#7286=ORIENTED_EDGE('',*,*,#18268,.T.); +#7287=ORIENTED_EDGE('',*,*,#18269,.T.); +#7288=EDGE_LOOP('',(#7284,#7285,#7286,#7287)); +#7289=FACE_BOUND('',#7288,.F.); +#7290=ORIENTED_EDGE('',*,*,#18270,.T.); +#7291=ORIENTED_EDGE('',*,*,#18271,.T.); +#7292=ORIENTED_EDGE('',*,*,#18272,.T.); +#7293=ORIENTED_EDGE('',*,*,#18273,.T.); +#7294=EDGE_LOOP('',(#7290,#7291,#7292,#7293)); +#7295=FACE_BOUND('',#7294,.F.); +#7296=ORIENTED_EDGE('',*,*,#18274,.T.); +#7297=ORIENTED_EDGE('',*,*,#18275,.T.); +#7298=ORIENTED_EDGE('',*,*,#18276,.T.); +#7299=ORIENTED_EDGE('',*,*,#18277,.T.); +#7300=EDGE_LOOP('',(#7296,#7297,#7298,#7299)); +#7301=FACE_BOUND('',#7300,.F.); +#7302=ORIENTED_EDGE('',*,*,#18278,.T.); +#7303=ORIENTED_EDGE('',*,*,#18279,.T.); +#7304=ORIENTED_EDGE('',*,*,#18280,.T.); +#7305=ORIENTED_EDGE('',*,*,#18281,.T.); +#7306=EDGE_LOOP('',(#7302,#7303,#7304,#7305)); +#7307=FACE_BOUND('',#7306,.F.); +#7308=ORIENTED_EDGE('',*,*,#18282,.T.); +#7309=ORIENTED_EDGE('',*,*,#18283,.T.); +#7310=ORIENTED_EDGE('',*,*,#18284,.T.); +#7311=ORIENTED_EDGE('',*,*,#18285,.T.); +#7312=EDGE_LOOP('',(#7308,#7309,#7310,#7311)); +#7313=FACE_BOUND('',#7312,.F.); +#7314=ORIENTED_EDGE('',*,*,#18286,.T.); +#7315=ORIENTED_EDGE('',*,*,#18287,.T.); +#7316=ORIENTED_EDGE('',*,*,#18288,.T.); +#7317=ORIENTED_EDGE('',*,*,#18289,.T.); +#7318=EDGE_LOOP('',(#7314,#7315,#7316,#7317)); +#7319=FACE_BOUND('',#7318,.F.); +#7320=ORIENTED_EDGE('',*,*,#18290,.T.); +#7321=ORIENTED_EDGE('',*,*,#18291,.T.); +#7322=ORIENTED_EDGE('',*,*,#18292,.T.); +#7323=ORIENTED_EDGE('',*,*,#18293,.T.); +#7324=EDGE_LOOP('',(#7320,#7321,#7322,#7323)); +#7325=FACE_BOUND('',#7324,.F.); +#7326=ORIENTED_EDGE('',*,*,#18294,.T.); +#7327=ORIENTED_EDGE('',*,*,#18295,.T.); +#7328=ORIENTED_EDGE('',*,*,#18296,.T.); +#7329=ORIENTED_EDGE('',*,*,#18297,.T.); +#7330=EDGE_LOOP('',(#7326,#7327,#7328,#7329)); +#7331=FACE_BOUND('',#7330,.F.); +#7332=ORIENTED_EDGE('',*,*,#18298,.T.); +#7333=ORIENTED_EDGE('',*,*,#18299,.T.); +#7334=ORIENTED_EDGE('',*,*,#18300,.T.); +#7335=ORIENTED_EDGE('',*,*,#18301,.T.); +#7336=EDGE_LOOP('',(#7332,#7333,#7334,#7335)); +#7337=FACE_BOUND('',#7336,.F.); +#7338=ORIENTED_EDGE('',*,*,#18302,.T.); +#7339=ORIENTED_EDGE('',*,*,#18303,.T.); +#7340=ORIENTED_EDGE('',*,*,#18304,.T.); +#7341=ORIENTED_EDGE('',*,*,#18305,.T.); +#7342=EDGE_LOOP('',(#7338,#7339,#7340,#7341)); +#7343=FACE_BOUND('',#7342,.F.); +#7344=ORIENTED_EDGE('',*,*,#18306,.T.); +#7345=ORIENTED_EDGE('',*,*,#18307,.T.); +#7346=ORIENTED_EDGE('',*,*,#18308,.T.); +#7347=ORIENTED_EDGE('',*,*,#18309,.T.); +#7348=EDGE_LOOP('',(#7344,#7345,#7346,#7347)); +#7349=FACE_BOUND('',#7348,.F.); +#7350=ORIENTED_EDGE('',*,*,#18310,.T.); +#7351=ORIENTED_EDGE('',*,*,#18311,.T.); +#7352=ORIENTED_EDGE('',*,*,#18312,.T.); +#7353=ORIENTED_EDGE('',*,*,#18313,.T.); +#7354=EDGE_LOOP('',(#7350,#7351,#7352,#7353)); +#7355=FACE_BOUND('',#7354,.F.); +#7356=ORIENTED_EDGE('',*,*,#18314,.T.); +#7357=ORIENTED_EDGE('',*,*,#18315,.T.); +#7358=ORIENTED_EDGE('',*,*,#18316,.T.); +#7359=ORIENTED_EDGE('',*,*,#18317,.T.); +#7360=EDGE_LOOP('',(#7356,#7357,#7358,#7359)); +#7361=FACE_BOUND('',#7360,.F.); +#7362=ORIENTED_EDGE('',*,*,#18318,.T.); +#7363=ORIENTED_EDGE('',*,*,#18319,.T.); +#7364=ORIENTED_EDGE('',*,*,#18320,.T.); +#7365=ORIENTED_EDGE('',*,*,#18321,.T.); +#7366=EDGE_LOOP('',(#7362,#7363,#7364,#7365)); +#7367=FACE_BOUND('',#7366,.F.); +#7368=ORIENTED_EDGE('',*,*,#18322,.T.); +#7369=ORIENTED_EDGE('',*,*,#18323,.T.); +#7370=ORIENTED_EDGE('',*,*,#18324,.T.); +#7371=ORIENTED_EDGE('',*,*,#18325,.T.); +#7372=EDGE_LOOP('',(#7368,#7369,#7370,#7371)); +#7373=FACE_BOUND('',#7372,.F.); +#7374=ORIENTED_EDGE('',*,*,#18326,.T.); +#7375=ORIENTED_EDGE('',*,*,#18327,.T.); +#7376=ORIENTED_EDGE('',*,*,#18328,.T.); +#7377=ORIENTED_EDGE('',*,*,#18329,.T.); +#7378=EDGE_LOOP('',(#7374,#7375,#7376,#7377)); +#7379=FACE_BOUND('',#7378,.F.); +#7380=ORIENTED_EDGE('',*,*,#18330,.T.); +#7381=ORIENTED_EDGE('',*,*,#18331,.T.); +#7382=ORIENTED_EDGE('',*,*,#18332,.T.); +#7383=ORIENTED_EDGE('',*,*,#18333,.T.); +#7384=EDGE_LOOP('',(#7380,#7381,#7382,#7383)); +#7385=FACE_BOUND('',#7384,.F.); +#7386=ORIENTED_EDGE('',*,*,#18334,.T.); +#7387=ORIENTED_EDGE('',*,*,#18335,.T.); +#7388=ORIENTED_EDGE('',*,*,#18336,.T.); +#7389=ORIENTED_EDGE('',*,*,#18337,.T.); +#7390=EDGE_LOOP('',(#7386,#7387,#7388,#7389)); +#7391=FACE_BOUND('',#7390,.F.); +#7392=ORIENTED_EDGE('',*,*,#18338,.T.); +#7393=ORIENTED_EDGE('',*,*,#18339,.T.); +#7394=ORIENTED_EDGE('',*,*,#18340,.T.); +#7395=ORIENTED_EDGE('',*,*,#18341,.T.); +#7396=EDGE_LOOP('',(#7392,#7393,#7394,#7395)); +#7397=FACE_BOUND('',#7396,.F.); +#7398=ORIENTED_EDGE('',*,*,#18342,.T.); +#7399=ORIENTED_EDGE('',*,*,#18343,.T.); +#7400=ORIENTED_EDGE('',*,*,#18344,.T.); +#7401=ORIENTED_EDGE('',*,*,#18345,.T.); +#7402=EDGE_LOOP('',(#7398,#7399,#7400,#7401)); +#7403=FACE_BOUND('',#7402,.F.); +#7404=ORIENTED_EDGE('',*,*,#18346,.T.); +#7405=ORIENTED_EDGE('',*,*,#18347,.T.); +#7406=ORIENTED_EDGE('',*,*,#18348,.T.); +#7407=ORIENTED_EDGE('',*,*,#18349,.T.); +#7408=EDGE_LOOP('',(#7404,#7405,#7406,#7407)); +#7409=FACE_BOUND('',#7408,.F.); +#7410=ORIENTED_EDGE('',*,*,#18350,.T.); +#7411=ORIENTED_EDGE('',*,*,#18351,.T.); +#7412=ORIENTED_EDGE('',*,*,#18352,.T.); +#7413=ORIENTED_EDGE('',*,*,#18353,.T.); +#7414=EDGE_LOOP('',(#7410,#7411,#7412,#7413)); +#7415=FACE_BOUND('',#7414,.F.); +#7416=ORIENTED_EDGE('',*,*,#18354,.T.); +#7417=ORIENTED_EDGE('',*,*,#18355,.T.); +#7418=ORIENTED_EDGE('',*,*,#18356,.T.); +#7419=ORIENTED_EDGE('',*,*,#18357,.T.); +#7420=EDGE_LOOP('',(#7416,#7417,#7418,#7419)); +#7421=FACE_BOUND('',#7420,.F.); +#7422=ORIENTED_EDGE('',*,*,#18358,.T.); +#7423=ORIENTED_EDGE('',*,*,#18359,.T.); +#7424=ORIENTED_EDGE('',*,*,#18360,.T.); +#7425=ORIENTED_EDGE('',*,*,#18361,.T.); +#7426=EDGE_LOOP('',(#7422,#7423,#7424,#7425)); +#7427=FACE_BOUND('',#7426,.F.); +#7428=ORIENTED_EDGE('',*,*,#18362,.T.); +#7429=ORIENTED_EDGE('',*,*,#18363,.T.); +#7430=ORIENTED_EDGE('',*,*,#18364,.T.); +#7431=ORIENTED_EDGE('',*,*,#18365,.T.); +#7432=EDGE_LOOP('',(#7428,#7429,#7430,#7431)); +#7433=FACE_BOUND('',#7432,.F.); +#7434=ORIENTED_EDGE('',*,*,#18366,.T.); +#7435=ORIENTED_EDGE('',*,*,#18367,.T.); +#7436=ORIENTED_EDGE('',*,*,#18368,.T.); +#7437=ORIENTED_EDGE('',*,*,#18369,.T.); +#7438=EDGE_LOOP('',(#7434,#7435,#7436,#7437)); +#7439=FACE_BOUND('',#7438,.F.); +#7440=ORIENTED_EDGE('',*,*,#18370,.T.); +#7441=ORIENTED_EDGE('',*,*,#18371,.T.); +#7442=ORIENTED_EDGE('',*,*,#18372,.T.); +#7443=ORIENTED_EDGE('',*,*,#18373,.T.); +#7444=EDGE_LOOP('',(#7440,#7441,#7442,#7443)); +#7445=FACE_BOUND('',#7444,.F.); +#7446=ADVANCED_FACE('',(#7253,#7259,#7265,#7271,#7277,#7283,#7289,#7295,#7301,#7307,#7313,#7319,#7325,#7331,#7337,#7343,#7349,#7355,#7361,#7367,#7373,#7379,#7385,#7391,#7397,#7403,#7409,#7415,#7421,#7427,#7433,#7439,#7445),#7123,.F.); +#7447=AXIS2_PLACEMENT_3D('',#1162,#84,#72); +#7448=PLANE('',#7447); +#7449=ORIENTED_EDGE('',*,*,#18246,.F.); +#7450=ORIENTED_EDGE('',*,*,#18374,.T.); +#7451=ORIENTED_EDGE('',*,*,#18375,.T.); +#7452=ORIENTED_EDGE('',*,*,#18376,.F.); +#7453=EDGE_LOOP('',(#7449,#7450,#7451,#7452)); +#7454=FACE_OUTER_BOUND('',#7453,.F.); +#7455=ADVANCED_FACE('',(#7454),#7448,.T.); +#7456=AXIS2_PLACEMENT_3D('',#1170,#80,#84); +#7457=PLANE('',#7456); +#7458=ORIENTED_EDGE('',*,*,#18249,.F.); +#7459=ORIENTED_EDGE('',*,*,#18377,.T.); +#7460=ORIENTED_EDGE('',*,*,#18378,.T.); +#7461=ORIENTED_EDGE('',*,*,#18374,.F.); +#7462=EDGE_LOOP('',(#7458,#7459,#7460,#7461)); +#7463=FACE_OUTER_BOUND('',#7462,.F.); +#7464=ADVANCED_FACE('',(#7463),#7457,.T.); +#7465=AXIS2_PLACEMENT_3D('',#1168,#76,#80); +#7466=PLANE('',#7465); +#7467=ORIENTED_EDGE('',*,*,#18248,.F.); +#7468=ORIENTED_EDGE('',*,*,#18379,.T.); +#7469=ORIENTED_EDGE('',*,*,#18380,.T.); +#7470=ORIENTED_EDGE('',*,*,#18377,.F.); +#7471=EDGE_LOOP('',(#7467,#7468,#7469,#7470)); +#7472=FACE_OUTER_BOUND('',#7471,.F.); +#7473=ADVANCED_FACE('',(#7472),#7466,.T.); +#7474=AXIS2_PLACEMENT_3D('',#1165,#72,#76); +#7475=PLANE('',#7474); +#7476=ORIENTED_EDGE('',*,*,#18247,.F.); +#7477=ORIENTED_EDGE('',*,*,#18376,.T.); +#7478=ORIENTED_EDGE('',*,*,#18381,.T.); +#7479=ORIENTED_EDGE('',*,*,#18379,.F.); +#7480=EDGE_LOOP('',(#7476,#7477,#7478,#7479)); +#7481=FACE_OUTER_BOUND('',#7480,.F.); +#7482=ADVANCED_FACE('',(#7481),#7475,.T.); +#7483=CARTESIAN_POINT('',(4.08E1,0.E0,-2.332E1)); +#7484=AXIS2_PLACEMENT_3D('',#7483,#918,#76); +#7485=PLANE('',#7484); +#7486=ORIENTED_EDGE('',*,*,#18375,.F.); +#7487=ORIENTED_EDGE('',*,*,#18378,.F.); +#7488=ORIENTED_EDGE('',*,*,#18380,.F.); +#7489=ORIENTED_EDGE('',*,*,#18381,.F.); +#7490=EDGE_LOOP('',(#7486,#7487,#7488,#7489)); +#7491=FACE_OUTER_BOUND('',#7490,.F.); +#7492=ADVANCED_FACE('',(#7491),#7485,.T.); +#7493=AXIS2_PLACEMENT_3D('',#1172,#84,#72); +#7494=PLANE('',#7493); +#7495=ORIENTED_EDGE('',*,*,#18250,.F.); +#7496=ORIENTED_EDGE('',*,*,#18382,.T.); +#7497=ORIENTED_EDGE('',*,*,#18383,.T.); +#7498=ORIENTED_EDGE('',*,*,#18384,.F.); +#7499=EDGE_LOOP('',(#7495,#7496,#7497,#7498)); +#7500=FACE_OUTER_BOUND('',#7499,.F.); +#7501=ADVANCED_FACE('',(#7500),#7494,.T.); +#7502=AXIS2_PLACEMENT_3D('',#1178,#80,#84); +#7503=PLANE('',#7502); +#7504=ORIENTED_EDGE('',*,*,#18253,.F.); +#7505=ORIENTED_EDGE('',*,*,#18385,.T.); +#7506=ORIENTED_EDGE('',*,*,#18386,.T.); +#7507=ORIENTED_EDGE('',*,*,#18382,.F.); +#7508=EDGE_LOOP('',(#7504,#7505,#7506,#7507)); +#7509=FACE_OUTER_BOUND('',#7508,.F.); +#7510=ADVANCED_FACE('',(#7509),#7503,.T.); +#7511=AXIS2_PLACEMENT_3D('',#1176,#76,#80); +#7512=PLANE('',#7511); +#7513=ORIENTED_EDGE('',*,*,#18252,.F.); +#7514=ORIENTED_EDGE('',*,*,#18387,.T.); +#7515=ORIENTED_EDGE('',*,*,#18388,.T.); +#7516=ORIENTED_EDGE('',*,*,#18385,.F.); +#7517=EDGE_LOOP('',(#7513,#7514,#7515,#7516)); +#7518=FACE_OUTER_BOUND('',#7517,.F.); +#7519=ADVANCED_FACE('',(#7518),#7512,.T.); +#7520=AXIS2_PLACEMENT_3D('',#1174,#72,#76); +#7521=PLANE('',#7520); +#7522=ORIENTED_EDGE('',*,*,#18251,.F.); +#7523=ORIENTED_EDGE('',*,*,#18384,.T.); +#7524=ORIENTED_EDGE('',*,*,#18389,.T.); +#7525=ORIENTED_EDGE('',*,*,#18387,.F.); +#7526=EDGE_LOOP('',(#7522,#7523,#7524,#7525)); +#7527=FACE_OUTER_BOUND('',#7526,.F.); +#7528=ADVANCED_FACE('',(#7527),#7521,.T.); +#7529=ORIENTED_EDGE('',*,*,#18383,.F.); +#7530=ORIENTED_EDGE('',*,*,#18386,.F.); +#7531=ORIENTED_EDGE('',*,*,#18388,.F.); +#7532=ORIENTED_EDGE('',*,*,#18389,.F.); +#7533=EDGE_LOOP('',(#7529,#7530,#7531,#7532)); +#7534=FACE_OUTER_BOUND('',#7533,.F.); +#7535=ADVANCED_FACE('',(#7534),#7485,.T.); +#7536=AXIS2_PLACEMENT_3D('',#1180,#84,#72); +#7537=PLANE('',#7536); +#7538=ORIENTED_EDGE('',*,*,#18254,.F.); +#7539=ORIENTED_EDGE('',*,*,#18390,.T.); +#7540=ORIENTED_EDGE('',*,*,#18391,.T.); +#7541=ORIENTED_EDGE('',*,*,#18392,.F.); +#7542=EDGE_LOOP('',(#7538,#7539,#7540,#7541)); +#7543=FACE_OUTER_BOUND('',#7542,.F.); +#7544=ADVANCED_FACE('',(#7543),#7537,.T.); +#7545=AXIS2_PLACEMENT_3D('',#1186,#80,#84); +#7546=PLANE('',#7545); +#7547=ORIENTED_EDGE('',*,*,#18257,.F.); +#7548=ORIENTED_EDGE('',*,*,#18393,.T.); +#7549=ORIENTED_EDGE('',*,*,#18394,.T.); +#7550=ORIENTED_EDGE('',*,*,#18390,.F.); +#7551=EDGE_LOOP('',(#7547,#7548,#7549,#7550)); +#7552=FACE_OUTER_BOUND('',#7551,.F.); +#7553=ADVANCED_FACE('',(#7552),#7546,.T.); +#7554=AXIS2_PLACEMENT_3D('',#1184,#76,#80); +#7555=PLANE('',#7554); +#7556=ORIENTED_EDGE('',*,*,#18256,.F.); +#7557=ORIENTED_EDGE('',*,*,#18395,.T.); +#7558=ORIENTED_EDGE('',*,*,#18396,.T.); +#7559=ORIENTED_EDGE('',*,*,#18393,.F.); +#7560=EDGE_LOOP('',(#7556,#7557,#7558,#7559)); +#7561=FACE_OUTER_BOUND('',#7560,.F.); +#7562=ADVANCED_FACE('',(#7561),#7555,.T.); +#7563=AXIS2_PLACEMENT_3D('',#1182,#72,#76); +#7564=PLANE('',#7563); +#7565=ORIENTED_EDGE('',*,*,#18255,.F.); +#7566=ORIENTED_EDGE('',*,*,#18392,.T.); +#7567=ORIENTED_EDGE('',*,*,#18397,.T.); +#7568=ORIENTED_EDGE('',*,*,#18395,.F.); +#7569=EDGE_LOOP('',(#7565,#7566,#7567,#7568)); +#7570=FACE_OUTER_BOUND('',#7569,.F.); +#7571=ADVANCED_FACE('',(#7570),#7564,.T.); +#7572=ORIENTED_EDGE('',*,*,#18391,.F.); +#7573=ORIENTED_EDGE('',*,*,#18394,.F.); +#7574=ORIENTED_EDGE('',*,*,#18396,.F.); +#7575=ORIENTED_EDGE('',*,*,#18397,.F.); +#7576=EDGE_LOOP('',(#7572,#7573,#7574,#7575)); +#7577=FACE_OUTER_BOUND('',#7576,.F.); +#7578=ADVANCED_FACE('',(#7577),#7485,.T.); +#7579=AXIS2_PLACEMENT_3D('',#1188,#84,#72); +#7580=PLANE('',#7579); +#7581=ORIENTED_EDGE('',*,*,#18258,.F.); +#7582=ORIENTED_EDGE('',*,*,#18398,.T.); +#7583=ORIENTED_EDGE('',*,*,#18399,.T.); +#7584=ORIENTED_EDGE('',*,*,#18400,.F.); +#7585=EDGE_LOOP('',(#7581,#7582,#7583,#7584)); +#7586=FACE_OUTER_BOUND('',#7585,.F.); +#7587=ADVANCED_FACE('',(#7586),#7580,.T.); +#7588=AXIS2_PLACEMENT_3D('',#1194,#80,#84); +#7589=PLANE('',#7588); +#7590=ORIENTED_EDGE('',*,*,#18261,.F.); +#7591=ORIENTED_EDGE('',*,*,#18401,.T.); +#7592=ORIENTED_EDGE('',*,*,#18402,.T.); +#7593=ORIENTED_EDGE('',*,*,#18398,.F.); +#7594=EDGE_LOOP('',(#7590,#7591,#7592,#7593)); +#7595=FACE_OUTER_BOUND('',#7594,.F.); +#7596=ADVANCED_FACE('',(#7595),#7589,.T.); +#7597=AXIS2_PLACEMENT_3D('',#1192,#76,#80); +#7598=PLANE('',#7597); +#7599=ORIENTED_EDGE('',*,*,#18260,.F.); +#7600=ORIENTED_EDGE('',*,*,#18403,.T.); +#7601=ORIENTED_EDGE('',*,*,#18404,.T.); +#7602=ORIENTED_EDGE('',*,*,#18401,.F.); +#7603=EDGE_LOOP('',(#7599,#7600,#7601,#7602)); +#7604=FACE_OUTER_BOUND('',#7603,.F.); +#7605=ADVANCED_FACE('',(#7604),#7598,.T.); +#7606=AXIS2_PLACEMENT_3D('',#1190,#72,#76); +#7607=PLANE('',#7606); +#7608=ORIENTED_EDGE('',*,*,#18259,.F.); +#7609=ORIENTED_EDGE('',*,*,#18400,.T.); +#7610=ORIENTED_EDGE('',*,*,#18405,.T.); +#7611=ORIENTED_EDGE('',*,*,#18403,.F.); +#7612=EDGE_LOOP('',(#7608,#7609,#7610,#7611)); +#7613=FACE_OUTER_BOUND('',#7612,.F.); +#7614=ADVANCED_FACE('',(#7613),#7607,.T.); +#7615=ORIENTED_EDGE('',*,*,#18399,.F.); +#7616=ORIENTED_EDGE('',*,*,#18402,.F.); +#7617=ORIENTED_EDGE('',*,*,#18404,.F.); +#7618=ORIENTED_EDGE('',*,*,#18405,.F.); +#7619=EDGE_LOOP('',(#7615,#7616,#7617,#7618)); +#7620=FACE_OUTER_BOUND('',#7619,.F.); +#7621=ADVANCED_FACE('',(#7620),#7485,.T.); +#7622=AXIS2_PLACEMENT_3D('',#1196,#84,#72); +#7623=PLANE('',#7622); +#7624=ORIENTED_EDGE('',*,*,#18262,.F.); +#7625=ORIENTED_EDGE('',*,*,#18406,.T.); +#7626=ORIENTED_EDGE('',*,*,#18407,.T.); +#7627=ORIENTED_EDGE('',*,*,#18408,.F.); +#7628=EDGE_LOOP('',(#7624,#7625,#7626,#7627)); +#7629=FACE_OUTER_BOUND('',#7628,.F.); +#7630=ADVANCED_FACE('',(#7629),#7623,.T.); +#7631=AXIS2_PLACEMENT_3D('',#1202,#80,#84); +#7632=PLANE('',#7631); +#7633=ORIENTED_EDGE('',*,*,#18265,.F.); +#7634=ORIENTED_EDGE('',*,*,#18409,.T.); +#7635=ORIENTED_EDGE('',*,*,#18410,.T.); +#7636=ORIENTED_EDGE('',*,*,#18406,.F.); +#7637=EDGE_LOOP('',(#7633,#7634,#7635,#7636)); +#7638=FACE_OUTER_BOUND('',#7637,.F.); +#7639=ADVANCED_FACE('',(#7638),#7632,.T.); +#7640=AXIS2_PLACEMENT_3D('',#1200,#76,#80); +#7641=PLANE('',#7640); +#7642=ORIENTED_EDGE('',*,*,#18264,.F.); +#7643=ORIENTED_EDGE('',*,*,#18411,.T.); +#7644=ORIENTED_EDGE('',*,*,#18412,.T.); +#7645=ORIENTED_EDGE('',*,*,#18409,.F.); +#7646=EDGE_LOOP('',(#7642,#7643,#7644,#7645)); +#7647=FACE_OUTER_BOUND('',#7646,.F.); +#7648=ADVANCED_FACE('',(#7647),#7641,.T.); +#7649=AXIS2_PLACEMENT_3D('',#1198,#72,#76); +#7650=PLANE('',#7649); +#7651=ORIENTED_EDGE('',*,*,#18263,.F.); +#7652=ORIENTED_EDGE('',*,*,#18408,.T.); +#7653=ORIENTED_EDGE('',*,*,#18413,.T.); +#7654=ORIENTED_EDGE('',*,*,#18411,.F.); +#7655=EDGE_LOOP('',(#7651,#7652,#7653,#7654)); +#7656=FACE_OUTER_BOUND('',#7655,.F.); +#7657=ADVANCED_FACE('',(#7656),#7650,.T.); +#7658=ORIENTED_EDGE('',*,*,#18407,.F.); +#7659=ORIENTED_EDGE('',*,*,#18410,.F.); +#7660=ORIENTED_EDGE('',*,*,#18412,.F.); +#7661=ORIENTED_EDGE('',*,*,#18413,.F.); +#7662=EDGE_LOOP('',(#7658,#7659,#7660,#7661)); +#7663=FACE_OUTER_BOUND('',#7662,.F.); +#7664=ADVANCED_FACE('',(#7663),#7485,.T.); +#7665=AXIS2_PLACEMENT_3D('',#1204,#84,#72); +#7666=PLANE('',#7665); +#7667=ORIENTED_EDGE('',*,*,#18266,.F.); +#7668=ORIENTED_EDGE('',*,*,#18414,.T.); +#7669=ORIENTED_EDGE('',*,*,#18415,.T.); +#7670=ORIENTED_EDGE('',*,*,#18416,.F.); +#7671=EDGE_LOOP('',(#7667,#7668,#7669,#7670)); +#7672=FACE_OUTER_BOUND('',#7671,.F.); +#7673=ADVANCED_FACE('',(#7672),#7666,.T.); +#7674=AXIS2_PLACEMENT_3D('',#1210,#80,#84); +#7675=PLANE('',#7674); +#7676=ORIENTED_EDGE('',*,*,#18269,.F.); +#7677=ORIENTED_EDGE('',*,*,#18417,.T.); +#7678=ORIENTED_EDGE('',*,*,#18418,.T.); +#7679=ORIENTED_EDGE('',*,*,#18414,.F.); +#7680=EDGE_LOOP('',(#7676,#7677,#7678,#7679)); +#7681=FACE_OUTER_BOUND('',#7680,.F.); +#7682=ADVANCED_FACE('',(#7681),#7675,.T.); +#7683=AXIS2_PLACEMENT_3D('',#1208,#76,#80); +#7684=PLANE('',#7683); +#7685=ORIENTED_EDGE('',*,*,#18268,.F.); +#7686=ORIENTED_EDGE('',*,*,#18419,.T.); +#7687=ORIENTED_EDGE('',*,*,#18420,.T.); +#7688=ORIENTED_EDGE('',*,*,#18417,.F.); +#7689=EDGE_LOOP('',(#7685,#7686,#7687,#7688)); +#7690=FACE_OUTER_BOUND('',#7689,.F.); +#7691=ADVANCED_FACE('',(#7690),#7684,.T.); +#7692=AXIS2_PLACEMENT_3D('',#1206,#72,#76); +#7693=PLANE('',#7692); +#7694=ORIENTED_EDGE('',*,*,#18267,.F.); +#7695=ORIENTED_EDGE('',*,*,#18416,.T.); +#7696=ORIENTED_EDGE('',*,*,#18421,.T.); +#7697=ORIENTED_EDGE('',*,*,#18419,.F.); +#7698=EDGE_LOOP('',(#7694,#7695,#7696,#7697)); +#7699=FACE_OUTER_BOUND('',#7698,.F.); +#7700=ADVANCED_FACE('',(#7699),#7693,.T.); +#7701=ORIENTED_EDGE('',*,*,#18415,.F.); +#7702=ORIENTED_EDGE('',*,*,#18418,.F.); +#7703=ORIENTED_EDGE('',*,*,#18420,.F.); +#7704=ORIENTED_EDGE('',*,*,#18421,.F.); +#7705=EDGE_LOOP('',(#7701,#7702,#7703,#7704)); +#7706=FACE_OUTER_BOUND('',#7705,.F.); +#7707=ADVANCED_FACE('',(#7706),#7485,.T.); +#7708=AXIS2_PLACEMENT_3D('',#1212,#84,#72); +#7709=PLANE('',#7708); +#7710=ORIENTED_EDGE('',*,*,#18270,.F.); +#7711=ORIENTED_EDGE('',*,*,#18422,.T.); +#7712=ORIENTED_EDGE('',*,*,#18423,.T.); +#7713=ORIENTED_EDGE('',*,*,#18424,.F.); +#7714=EDGE_LOOP('',(#7710,#7711,#7712,#7713)); +#7715=FACE_OUTER_BOUND('',#7714,.F.); +#7716=ADVANCED_FACE('',(#7715),#7709,.T.); +#7717=AXIS2_PLACEMENT_3D('',#1218,#80,#84); +#7718=PLANE('',#7717); +#7719=ORIENTED_EDGE('',*,*,#18273,.F.); +#7720=ORIENTED_EDGE('',*,*,#18425,.T.); +#7721=ORIENTED_EDGE('',*,*,#18426,.T.); +#7722=ORIENTED_EDGE('',*,*,#18422,.F.); +#7723=EDGE_LOOP('',(#7719,#7720,#7721,#7722)); +#7724=FACE_OUTER_BOUND('',#7723,.F.); +#7725=ADVANCED_FACE('',(#7724),#7718,.T.); +#7726=AXIS2_PLACEMENT_3D('',#1216,#76,#80); +#7727=PLANE('',#7726); +#7728=ORIENTED_EDGE('',*,*,#18272,.F.); +#7729=ORIENTED_EDGE('',*,*,#18427,.T.); +#7730=ORIENTED_EDGE('',*,*,#18428,.T.); +#7731=ORIENTED_EDGE('',*,*,#18425,.F.); +#7732=EDGE_LOOP('',(#7728,#7729,#7730,#7731)); +#7733=FACE_OUTER_BOUND('',#7732,.F.); +#7734=ADVANCED_FACE('',(#7733),#7727,.T.); +#7735=AXIS2_PLACEMENT_3D('',#1214,#72,#76); +#7736=PLANE('',#7735); +#7737=ORIENTED_EDGE('',*,*,#18271,.F.); +#7738=ORIENTED_EDGE('',*,*,#18424,.T.); +#7739=ORIENTED_EDGE('',*,*,#18429,.T.); +#7740=ORIENTED_EDGE('',*,*,#18427,.F.); +#7741=EDGE_LOOP('',(#7737,#7738,#7739,#7740)); +#7742=FACE_OUTER_BOUND('',#7741,.F.); +#7743=ADVANCED_FACE('',(#7742),#7736,.T.); +#7744=ORIENTED_EDGE('',*,*,#18423,.F.); +#7745=ORIENTED_EDGE('',*,*,#18426,.F.); +#7746=ORIENTED_EDGE('',*,*,#18428,.F.); +#7747=ORIENTED_EDGE('',*,*,#18429,.F.); +#7748=EDGE_LOOP('',(#7744,#7745,#7746,#7747)); +#7749=FACE_OUTER_BOUND('',#7748,.F.); +#7750=ADVANCED_FACE('',(#7749),#7485,.T.); +#7751=AXIS2_PLACEMENT_3D('',#1220,#84,#72); +#7752=PLANE('',#7751); +#7753=ORIENTED_EDGE('',*,*,#18274,.F.); +#7754=ORIENTED_EDGE('',*,*,#18430,.T.); +#7755=ORIENTED_EDGE('',*,*,#18431,.T.); +#7756=ORIENTED_EDGE('',*,*,#18432,.F.); +#7757=EDGE_LOOP('',(#7753,#7754,#7755,#7756)); +#7758=FACE_OUTER_BOUND('',#7757,.F.); +#7759=ADVANCED_FACE('',(#7758),#7752,.T.); +#7760=AXIS2_PLACEMENT_3D('',#1226,#80,#84); +#7761=PLANE('',#7760); +#7762=ORIENTED_EDGE('',*,*,#18277,.F.); +#7763=ORIENTED_EDGE('',*,*,#18433,.T.); +#7764=ORIENTED_EDGE('',*,*,#18434,.T.); +#7765=ORIENTED_EDGE('',*,*,#18430,.F.); +#7766=EDGE_LOOP('',(#7762,#7763,#7764,#7765)); +#7767=FACE_OUTER_BOUND('',#7766,.F.); +#7768=ADVANCED_FACE('',(#7767),#7761,.T.); +#7769=AXIS2_PLACEMENT_3D('',#1224,#76,#80); +#7770=PLANE('',#7769); +#7771=ORIENTED_EDGE('',*,*,#18276,.F.); +#7772=ORIENTED_EDGE('',*,*,#18435,.T.); +#7773=ORIENTED_EDGE('',*,*,#18436,.T.); +#7774=ORIENTED_EDGE('',*,*,#18433,.F.); +#7775=EDGE_LOOP('',(#7771,#7772,#7773,#7774)); +#7776=FACE_OUTER_BOUND('',#7775,.F.); +#7777=ADVANCED_FACE('',(#7776),#7770,.T.); +#7778=AXIS2_PLACEMENT_3D('',#1222,#72,#76); +#7779=PLANE('',#7778); +#7780=ORIENTED_EDGE('',*,*,#18275,.F.); +#7781=ORIENTED_EDGE('',*,*,#18432,.T.); +#7782=ORIENTED_EDGE('',*,*,#18437,.T.); +#7783=ORIENTED_EDGE('',*,*,#18435,.F.); +#7784=EDGE_LOOP('',(#7780,#7781,#7782,#7783)); +#7785=FACE_OUTER_BOUND('',#7784,.F.); +#7786=ADVANCED_FACE('',(#7785),#7779,.T.); +#7787=ORIENTED_EDGE('',*,*,#18431,.F.); +#7788=ORIENTED_EDGE('',*,*,#18434,.F.); +#7789=ORIENTED_EDGE('',*,*,#18436,.F.); +#7790=ORIENTED_EDGE('',*,*,#18437,.F.); +#7791=EDGE_LOOP('',(#7787,#7788,#7789,#7790)); +#7792=FACE_OUTER_BOUND('',#7791,.F.); +#7793=ADVANCED_FACE('',(#7792),#7485,.T.); +#7794=AXIS2_PLACEMENT_3D('',#1228,#84,#72); +#7795=PLANE('',#7794); +#7796=ORIENTED_EDGE('',*,*,#18278,.F.); +#7797=ORIENTED_EDGE('',*,*,#18438,.T.); +#7798=ORIENTED_EDGE('',*,*,#18439,.T.); +#7799=ORIENTED_EDGE('',*,*,#18440,.F.); +#7800=EDGE_LOOP('',(#7796,#7797,#7798,#7799)); +#7801=FACE_OUTER_BOUND('',#7800,.F.); +#7802=ADVANCED_FACE('',(#7801),#7795,.T.); +#7803=AXIS2_PLACEMENT_3D('',#1234,#80,#84); +#7804=PLANE('',#7803); +#7805=ORIENTED_EDGE('',*,*,#18281,.F.); +#7806=ORIENTED_EDGE('',*,*,#18441,.T.); +#7807=ORIENTED_EDGE('',*,*,#18442,.T.); +#7808=ORIENTED_EDGE('',*,*,#18438,.F.); +#7809=EDGE_LOOP('',(#7805,#7806,#7807,#7808)); +#7810=FACE_OUTER_BOUND('',#7809,.F.); +#7811=ADVANCED_FACE('',(#7810),#7804,.T.); +#7812=AXIS2_PLACEMENT_3D('',#1232,#76,#80); +#7813=PLANE('',#7812); +#7814=ORIENTED_EDGE('',*,*,#18280,.F.); +#7815=ORIENTED_EDGE('',*,*,#18443,.T.); +#7816=ORIENTED_EDGE('',*,*,#18444,.T.); +#7817=ORIENTED_EDGE('',*,*,#18441,.F.); +#7818=EDGE_LOOP('',(#7814,#7815,#7816,#7817)); +#7819=FACE_OUTER_BOUND('',#7818,.F.); +#7820=ADVANCED_FACE('',(#7819),#7813,.T.); +#7821=AXIS2_PLACEMENT_3D('',#1230,#72,#76); +#7822=PLANE('',#7821); +#7823=ORIENTED_EDGE('',*,*,#18279,.F.); +#7824=ORIENTED_EDGE('',*,*,#18440,.T.); +#7825=ORIENTED_EDGE('',*,*,#18445,.T.); +#7826=ORIENTED_EDGE('',*,*,#18443,.F.); +#7827=EDGE_LOOP('',(#7823,#7824,#7825,#7826)); +#7828=FACE_OUTER_BOUND('',#7827,.F.); +#7829=ADVANCED_FACE('',(#7828),#7822,.T.); +#7830=ORIENTED_EDGE('',*,*,#18439,.F.); +#7831=ORIENTED_EDGE('',*,*,#18442,.F.); +#7832=ORIENTED_EDGE('',*,*,#18444,.F.); +#7833=ORIENTED_EDGE('',*,*,#18445,.F.); +#7834=EDGE_LOOP('',(#7830,#7831,#7832,#7833)); +#7835=FACE_OUTER_BOUND('',#7834,.F.); +#7836=ADVANCED_FACE('',(#7835),#7485,.T.); +#7837=AXIS2_PLACEMENT_3D('',#1236,#84,#72); +#7838=PLANE('',#7837); +#7839=ORIENTED_EDGE('',*,*,#18282,.F.); +#7840=ORIENTED_EDGE('',*,*,#18446,.T.); +#7841=ORIENTED_EDGE('',*,*,#18447,.T.); +#7842=ORIENTED_EDGE('',*,*,#18448,.F.); +#7843=EDGE_LOOP('',(#7839,#7840,#7841,#7842)); +#7844=FACE_OUTER_BOUND('',#7843,.F.); +#7845=ADVANCED_FACE('',(#7844),#7838,.T.); +#7846=AXIS2_PLACEMENT_3D('',#1242,#80,#84); +#7847=PLANE('',#7846); +#7848=ORIENTED_EDGE('',*,*,#18285,.F.); +#7849=ORIENTED_EDGE('',*,*,#18449,.T.); +#7850=ORIENTED_EDGE('',*,*,#18450,.T.); +#7851=ORIENTED_EDGE('',*,*,#18446,.F.); +#7852=EDGE_LOOP('',(#7848,#7849,#7850,#7851)); +#7853=FACE_OUTER_BOUND('',#7852,.F.); +#7854=ADVANCED_FACE('',(#7853),#7847,.T.); +#7855=AXIS2_PLACEMENT_3D('',#1240,#76,#80); +#7856=PLANE('',#7855); +#7857=ORIENTED_EDGE('',*,*,#18284,.F.); +#7858=ORIENTED_EDGE('',*,*,#18451,.T.); +#7859=ORIENTED_EDGE('',*,*,#18452,.T.); +#7860=ORIENTED_EDGE('',*,*,#18449,.F.); +#7861=EDGE_LOOP('',(#7857,#7858,#7859,#7860)); +#7862=FACE_OUTER_BOUND('',#7861,.F.); +#7863=ADVANCED_FACE('',(#7862),#7856,.T.); +#7864=AXIS2_PLACEMENT_3D('',#1238,#72,#76); +#7865=PLANE('',#7864); +#7866=ORIENTED_EDGE('',*,*,#18283,.F.); +#7867=ORIENTED_EDGE('',*,*,#18448,.T.); +#7868=ORIENTED_EDGE('',*,*,#18453,.T.); +#7869=ORIENTED_EDGE('',*,*,#18451,.F.); +#7870=EDGE_LOOP('',(#7866,#7867,#7868,#7869)); +#7871=FACE_OUTER_BOUND('',#7870,.F.); +#7872=ADVANCED_FACE('',(#7871),#7865,.T.); +#7873=ORIENTED_EDGE('',*,*,#18447,.F.); +#7874=ORIENTED_EDGE('',*,*,#18450,.F.); +#7875=ORIENTED_EDGE('',*,*,#18452,.F.); +#7876=ORIENTED_EDGE('',*,*,#18453,.F.); +#7877=EDGE_LOOP('',(#7873,#7874,#7875,#7876)); +#7878=FACE_OUTER_BOUND('',#7877,.F.); +#7879=ADVANCED_FACE('',(#7878),#7485,.T.); +#7880=AXIS2_PLACEMENT_3D('',#1244,#84,#72); +#7881=PLANE('',#7880); +#7882=ORIENTED_EDGE('',*,*,#18286,.F.); +#7883=ORIENTED_EDGE('',*,*,#18454,.T.); +#7884=ORIENTED_EDGE('',*,*,#18455,.T.); +#7885=ORIENTED_EDGE('',*,*,#18456,.F.); +#7886=EDGE_LOOP('',(#7882,#7883,#7884,#7885)); +#7887=FACE_OUTER_BOUND('',#7886,.F.); +#7888=ADVANCED_FACE('',(#7887),#7881,.T.); +#7889=AXIS2_PLACEMENT_3D('',#1250,#80,#84); +#7890=PLANE('',#7889); +#7891=ORIENTED_EDGE('',*,*,#18289,.F.); +#7892=ORIENTED_EDGE('',*,*,#18457,.T.); +#7893=ORIENTED_EDGE('',*,*,#18458,.T.); +#7894=ORIENTED_EDGE('',*,*,#18454,.F.); +#7895=EDGE_LOOP('',(#7891,#7892,#7893,#7894)); +#7896=FACE_OUTER_BOUND('',#7895,.F.); +#7897=ADVANCED_FACE('',(#7896),#7890,.T.); +#7898=AXIS2_PLACEMENT_3D('',#1248,#76,#80); +#7899=PLANE('',#7898); +#7900=ORIENTED_EDGE('',*,*,#18288,.F.); +#7901=ORIENTED_EDGE('',*,*,#18459,.T.); +#7902=ORIENTED_EDGE('',*,*,#18460,.T.); +#7903=ORIENTED_EDGE('',*,*,#18457,.F.); +#7904=EDGE_LOOP('',(#7900,#7901,#7902,#7903)); +#7905=FACE_OUTER_BOUND('',#7904,.F.); +#7906=ADVANCED_FACE('',(#7905),#7899,.T.); +#7907=AXIS2_PLACEMENT_3D('',#1246,#72,#76); +#7908=PLANE('',#7907); +#7909=ORIENTED_EDGE('',*,*,#18287,.F.); +#7910=ORIENTED_EDGE('',*,*,#18456,.T.); +#7911=ORIENTED_EDGE('',*,*,#18461,.T.); +#7912=ORIENTED_EDGE('',*,*,#18459,.F.); +#7913=EDGE_LOOP('',(#7909,#7910,#7911,#7912)); +#7914=FACE_OUTER_BOUND('',#7913,.F.); +#7915=ADVANCED_FACE('',(#7914),#7908,.T.); +#7916=ORIENTED_EDGE('',*,*,#18455,.F.); +#7917=ORIENTED_EDGE('',*,*,#18458,.F.); +#7918=ORIENTED_EDGE('',*,*,#18460,.F.); +#7919=ORIENTED_EDGE('',*,*,#18461,.F.); +#7920=EDGE_LOOP('',(#7916,#7917,#7918,#7919)); +#7921=FACE_OUTER_BOUND('',#7920,.F.); +#7922=ADVANCED_FACE('',(#7921),#7485,.T.); +#7923=AXIS2_PLACEMENT_3D('',#1252,#84,#72); +#7924=PLANE('',#7923); +#7925=ORIENTED_EDGE('',*,*,#18290,.F.); +#7926=ORIENTED_EDGE('',*,*,#18462,.T.); +#7927=ORIENTED_EDGE('',*,*,#18463,.T.); +#7928=ORIENTED_EDGE('',*,*,#18464,.F.); +#7929=EDGE_LOOP('',(#7925,#7926,#7927,#7928)); +#7930=FACE_OUTER_BOUND('',#7929,.F.); +#7931=ADVANCED_FACE('',(#7930),#7924,.T.); +#7932=AXIS2_PLACEMENT_3D('',#1258,#80,#84); +#7933=PLANE('',#7932); +#7934=ORIENTED_EDGE('',*,*,#18293,.F.); +#7935=ORIENTED_EDGE('',*,*,#18465,.T.); +#7936=ORIENTED_EDGE('',*,*,#18466,.T.); +#7937=ORIENTED_EDGE('',*,*,#18462,.F.); +#7938=EDGE_LOOP('',(#7934,#7935,#7936,#7937)); +#7939=FACE_OUTER_BOUND('',#7938,.F.); +#7940=ADVANCED_FACE('',(#7939),#7933,.T.); +#7941=AXIS2_PLACEMENT_3D('',#1256,#76,#80); +#7942=PLANE('',#7941); +#7943=ORIENTED_EDGE('',*,*,#18292,.F.); +#7944=ORIENTED_EDGE('',*,*,#18467,.T.); +#7945=ORIENTED_EDGE('',*,*,#18468,.T.); +#7946=ORIENTED_EDGE('',*,*,#18465,.F.); +#7947=EDGE_LOOP('',(#7943,#7944,#7945,#7946)); +#7948=FACE_OUTER_BOUND('',#7947,.F.); +#7949=ADVANCED_FACE('',(#7948),#7942,.T.); +#7950=AXIS2_PLACEMENT_3D('',#1254,#72,#76); +#7951=PLANE('',#7950); +#7952=ORIENTED_EDGE('',*,*,#18291,.F.); +#7953=ORIENTED_EDGE('',*,*,#18464,.T.); +#7954=ORIENTED_EDGE('',*,*,#18469,.T.); +#7955=ORIENTED_EDGE('',*,*,#18467,.F.); +#7956=EDGE_LOOP('',(#7952,#7953,#7954,#7955)); +#7957=FACE_OUTER_BOUND('',#7956,.F.); +#7958=ADVANCED_FACE('',(#7957),#7951,.T.); +#7959=ORIENTED_EDGE('',*,*,#18463,.F.); +#7960=ORIENTED_EDGE('',*,*,#18466,.F.); +#7961=ORIENTED_EDGE('',*,*,#18468,.F.); +#7962=ORIENTED_EDGE('',*,*,#18469,.F.); +#7963=EDGE_LOOP('',(#7959,#7960,#7961,#7962)); +#7964=FACE_OUTER_BOUND('',#7963,.F.); +#7965=ADVANCED_FACE('',(#7964),#7485,.T.); +#7966=AXIS2_PLACEMENT_3D('',#1260,#84,#72); +#7967=PLANE('',#7966); +#7968=ORIENTED_EDGE('',*,*,#18294,.F.); +#7969=ORIENTED_EDGE('',*,*,#18470,.T.); +#7970=ORIENTED_EDGE('',*,*,#18471,.T.); +#7971=ORIENTED_EDGE('',*,*,#18472,.F.); +#7972=EDGE_LOOP('',(#7968,#7969,#7970,#7971)); +#7973=FACE_OUTER_BOUND('',#7972,.F.); +#7974=ADVANCED_FACE('',(#7973),#7967,.T.); +#7975=AXIS2_PLACEMENT_3D('',#1268,#80,#84); +#7976=PLANE('',#7975); +#7977=ORIENTED_EDGE('',*,*,#18297,.F.); +#7978=ORIENTED_EDGE('',*,*,#18473,.T.); +#7979=ORIENTED_EDGE('',*,*,#18474,.T.); +#7980=ORIENTED_EDGE('',*,*,#18470,.F.); +#7981=EDGE_LOOP('',(#7977,#7978,#7979,#7980)); +#7982=FACE_OUTER_BOUND('',#7981,.F.); +#7983=ADVANCED_FACE('',(#7982),#7976,.T.); +#7984=DIRECTION('',(-1.E0,-1.110223024625E-14,0.E0)); +#7985=AXIS2_PLACEMENT_3D('',#1266,#7984,#1264); +#7986=PLANE('',#7985); +#7987=ORIENTED_EDGE('',*,*,#18296,.F.); +#7988=ORIENTED_EDGE('',*,*,#18475,.T.); +#7989=ORIENTED_EDGE('',*,*,#18476,.T.); +#7990=ORIENTED_EDGE('',*,*,#18473,.F.); +#7991=EDGE_LOOP('',(#7987,#7988,#7989,#7990)); +#7992=FACE_OUTER_BOUND('',#7991,.F.); +#7993=ADVANCED_FACE('',(#7992),#7986,.T.); +#7994=AXIS2_PLACEMENT_3D('',#1262,#72,#76); +#7995=PLANE('',#7994); +#7996=ORIENTED_EDGE('',*,*,#18295,.F.); +#7997=ORIENTED_EDGE('',*,*,#18472,.T.); +#7998=ORIENTED_EDGE('',*,*,#18477,.T.); +#7999=ORIENTED_EDGE('',*,*,#18475,.F.); +#8000=EDGE_LOOP('',(#7996,#7997,#7998,#7999)); +#8001=FACE_OUTER_BOUND('',#8000,.F.); +#8002=ADVANCED_FACE('',(#8001),#7995,.T.); +#8003=ORIENTED_EDGE('',*,*,#18471,.F.); +#8004=ORIENTED_EDGE('',*,*,#18474,.F.); +#8005=ORIENTED_EDGE('',*,*,#18476,.F.); +#8006=ORIENTED_EDGE('',*,*,#18477,.F.); +#8007=EDGE_LOOP('',(#8003,#8004,#8005,#8006)); +#8008=FACE_OUTER_BOUND('',#8007,.F.); +#8009=ADVANCED_FACE('',(#8008),#7485,.T.); +#8010=AXIS2_PLACEMENT_3D('',#1270,#84,#72); +#8011=PLANE('',#8010); +#8012=ORIENTED_EDGE('',*,*,#18298,.F.); +#8013=ORIENTED_EDGE('',*,*,#18478,.T.); +#8014=ORIENTED_EDGE('',*,*,#18479,.T.); +#8015=ORIENTED_EDGE('',*,*,#18480,.F.); +#8016=EDGE_LOOP('',(#8012,#8013,#8014,#8015)); +#8017=FACE_OUTER_BOUND('',#8016,.F.); +#8018=ADVANCED_FACE('',(#8017),#8011,.T.); +#8019=AXIS2_PLACEMENT_3D('',#1276,#80,#84); +#8020=PLANE('',#8019); +#8021=ORIENTED_EDGE('',*,*,#18301,.F.); +#8022=ORIENTED_EDGE('',*,*,#18481,.T.); +#8023=ORIENTED_EDGE('',*,*,#18482,.T.); +#8024=ORIENTED_EDGE('',*,*,#18478,.F.); +#8025=EDGE_LOOP('',(#8021,#8022,#8023,#8024)); +#8026=FACE_OUTER_BOUND('',#8025,.F.); +#8027=ADVANCED_FACE('',(#8026),#8020,.T.); +#8028=AXIS2_PLACEMENT_3D('',#1274,#76,#80); +#8029=PLANE('',#8028); +#8030=ORIENTED_EDGE('',*,*,#18300,.F.); +#8031=ORIENTED_EDGE('',*,*,#18483,.T.); +#8032=ORIENTED_EDGE('',*,*,#18484,.T.); +#8033=ORIENTED_EDGE('',*,*,#18481,.F.); +#8034=EDGE_LOOP('',(#8030,#8031,#8032,#8033)); +#8035=FACE_OUTER_BOUND('',#8034,.F.); +#8036=ADVANCED_FACE('',(#8035),#8029,.T.); +#8037=AXIS2_PLACEMENT_3D('',#1272,#72,#76); +#8038=PLANE('',#8037); +#8039=ORIENTED_EDGE('',*,*,#18299,.F.); +#8040=ORIENTED_EDGE('',*,*,#18480,.T.); +#8041=ORIENTED_EDGE('',*,*,#18485,.T.); +#8042=ORIENTED_EDGE('',*,*,#18483,.F.); +#8043=EDGE_LOOP('',(#8039,#8040,#8041,#8042)); +#8044=FACE_OUTER_BOUND('',#8043,.F.); +#8045=ADVANCED_FACE('',(#8044),#8038,.T.); +#8046=ORIENTED_EDGE('',*,*,#18479,.F.); +#8047=ORIENTED_EDGE('',*,*,#18482,.F.); +#8048=ORIENTED_EDGE('',*,*,#18484,.F.); +#8049=ORIENTED_EDGE('',*,*,#18485,.F.); +#8050=EDGE_LOOP('',(#8046,#8047,#8048,#8049)); +#8051=FACE_OUTER_BOUND('',#8050,.F.); +#8052=ADVANCED_FACE('',(#8051),#7485,.T.); +#8053=AXIS2_PLACEMENT_3D('',#1278,#84,#72); +#8054=PLANE('',#8053); +#8055=ORIENTED_EDGE('',*,*,#18302,.F.); +#8056=ORIENTED_EDGE('',*,*,#18486,.T.); +#8057=ORIENTED_EDGE('',*,*,#18487,.T.); +#8058=ORIENTED_EDGE('',*,*,#18488,.F.); +#8059=EDGE_LOOP('',(#8055,#8056,#8057,#8058)); +#8060=FACE_OUTER_BOUND('',#8059,.F.); +#8061=ADVANCED_FACE('',(#8060),#8054,.T.); +#8062=AXIS2_PLACEMENT_3D('',#1284,#80,#84); +#8063=PLANE('',#8062); +#8064=ORIENTED_EDGE('',*,*,#18305,.F.); +#8065=ORIENTED_EDGE('',*,*,#18489,.T.); +#8066=ORIENTED_EDGE('',*,*,#18490,.T.); +#8067=ORIENTED_EDGE('',*,*,#18486,.F.); +#8068=EDGE_LOOP('',(#8064,#8065,#8066,#8067)); +#8069=FACE_OUTER_BOUND('',#8068,.F.); +#8070=ADVANCED_FACE('',(#8069),#8063,.T.); +#8071=AXIS2_PLACEMENT_3D('',#1282,#76,#80); +#8072=PLANE('',#8071); +#8073=ORIENTED_EDGE('',*,*,#18304,.F.); +#8074=ORIENTED_EDGE('',*,*,#18491,.T.); +#8075=ORIENTED_EDGE('',*,*,#18492,.T.); +#8076=ORIENTED_EDGE('',*,*,#18489,.F.); +#8077=EDGE_LOOP('',(#8073,#8074,#8075,#8076)); +#8078=FACE_OUTER_BOUND('',#8077,.F.); +#8079=ADVANCED_FACE('',(#8078),#8072,.T.); +#8080=AXIS2_PLACEMENT_3D('',#1280,#72,#76); +#8081=PLANE('',#8080); +#8082=ORIENTED_EDGE('',*,*,#18303,.F.); +#8083=ORIENTED_EDGE('',*,*,#18488,.T.); +#8084=ORIENTED_EDGE('',*,*,#18493,.T.); +#8085=ORIENTED_EDGE('',*,*,#18491,.F.); +#8086=EDGE_LOOP('',(#8082,#8083,#8084,#8085)); +#8087=FACE_OUTER_BOUND('',#8086,.F.); +#8088=ADVANCED_FACE('',(#8087),#8081,.T.); +#8089=ORIENTED_EDGE('',*,*,#18487,.F.); +#8090=ORIENTED_EDGE('',*,*,#18490,.F.); +#8091=ORIENTED_EDGE('',*,*,#18492,.F.); +#8092=ORIENTED_EDGE('',*,*,#18493,.F.); +#8093=EDGE_LOOP('',(#8089,#8090,#8091,#8092)); +#8094=FACE_OUTER_BOUND('',#8093,.F.); +#8095=ADVANCED_FACE('',(#8094),#7485,.T.); +#8096=AXIS2_PLACEMENT_3D('',#1286,#84,#72); +#8097=PLANE('',#8096); +#8098=ORIENTED_EDGE('',*,*,#18306,.F.); +#8099=ORIENTED_EDGE('',*,*,#18494,.T.); +#8100=ORIENTED_EDGE('',*,*,#18495,.T.); +#8101=ORIENTED_EDGE('',*,*,#18496,.F.); +#8102=EDGE_LOOP('',(#8098,#8099,#8100,#8101)); +#8103=FACE_OUTER_BOUND('',#8102,.F.); +#8104=ADVANCED_FACE('',(#8103),#8097,.T.); +#8105=AXIS2_PLACEMENT_3D('',#1292,#80,#84); +#8106=PLANE('',#8105); +#8107=ORIENTED_EDGE('',*,*,#18309,.F.); +#8108=ORIENTED_EDGE('',*,*,#18497,.T.); +#8109=ORIENTED_EDGE('',*,*,#18498,.T.); +#8110=ORIENTED_EDGE('',*,*,#18494,.F.); +#8111=EDGE_LOOP('',(#8107,#8108,#8109,#8110)); +#8112=FACE_OUTER_BOUND('',#8111,.F.); +#8113=ADVANCED_FACE('',(#8112),#8106,.T.); +#8114=AXIS2_PLACEMENT_3D('',#1290,#76,#80); +#8115=PLANE('',#8114); +#8116=ORIENTED_EDGE('',*,*,#18308,.F.); +#8117=ORIENTED_EDGE('',*,*,#18499,.T.); +#8118=ORIENTED_EDGE('',*,*,#18500,.T.); +#8119=ORIENTED_EDGE('',*,*,#18497,.F.); +#8120=EDGE_LOOP('',(#8116,#8117,#8118,#8119)); +#8121=FACE_OUTER_BOUND('',#8120,.F.); +#8122=ADVANCED_FACE('',(#8121),#8115,.T.); +#8123=AXIS2_PLACEMENT_3D('',#1288,#72,#76); +#8124=PLANE('',#8123); +#8125=ORIENTED_EDGE('',*,*,#18307,.F.); +#8126=ORIENTED_EDGE('',*,*,#18496,.T.); +#8127=ORIENTED_EDGE('',*,*,#18501,.T.); +#8128=ORIENTED_EDGE('',*,*,#18499,.F.); +#8129=EDGE_LOOP('',(#8125,#8126,#8127,#8128)); +#8130=FACE_OUTER_BOUND('',#8129,.F.); +#8131=ADVANCED_FACE('',(#8130),#8124,.T.); +#8132=ORIENTED_EDGE('',*,*,#18495,.F.); +#8133=ORIENTED_EDGE('',*,*,#18498,.F.); +#8134=ORIENTED_EDGE('',*,*,#18500,.F.); +#8135=ORIENTED_EDGE('',*,*,#18501,.F.); +#8136=EDGE_LOOP('',(#8132,#8133,#8134,#8135)); +#8137=FACE_OUTER_BOUND('',#8136,.F.); +#8138=ADVANCED_FACE('',(#8137),#7485,.T.); +#8139=AXIS2_PLACEMENT_3D('',#1294,#84,#72); +#8140=PLANE('',#8139); +#8141=ORIENTED_EDGE('',*,*,#18310,.F.); +#8142=ORIENTED_EDGE('',*,*,#18502,.T.); +#8143=ORIENTED_EDGE('',*,*,#18503,.T.); +#8144=ORIENTED_EDGE('',*,*,#18504,.F.); +#8145=EDGE_LOOP('',(#8141,#8142,#8143,#8144)); +#8146=FACE_OUTER_BOUND('',#8145,.F.); +#8147=ADVANCED_FACE('',(#8146),#8140,.T.); +#8148=AXIS2_PLACEMENT_3D('',#1300,#80,#84); +#8149=PLANE('',#8148); +#8150=ORIENTED_EDGE('',*,*,#18313,.F.); +#8151=ORIENTED_EDGE('',*,*,#18505,.T.); +#8152=ORIENTED_EDGE('',*,*,#18506,.T.); +#8153=ORIENTED_EDGE('',*,*,#18502,.F.); +#8154=EDGE_LOOP('',(#8150,#8151,#8152,#8153)); +#8155=FACE_OUTER_BOUND('',#8154,.F.); +#8156=ADVANCED_FACE('',(#8155),#8149,.T.); +#8157=AXIS2_PLACEMENT_3D('',#1298,#76,#80); +#8158=PLANE('',#8157); +#8159=ORIENTED_EDGE('',*,*,#18312,.F.); +#8160=ORIENTED_EDGE('',*,*,#18507,.T.); +#8161=ORIENTED_EDGE('',*,*,#18508,.T.); +#8162=ORIENTED_EDGE('',*,*,#18505,.F.); +#8163=EDGE_LOOP('',(#8159,#8160,#8161,#8162)); +#8164=FACE_OUTER_BOUND('',#8163,.F.); +#8165=ADVANCED_FACE('',(#8164),#8158,.T.); +#8166=AXIS2_PLACEMENT_3D('',#1296,#72,#76); +#8167=PLANE('',#8166); +#8168=ORIENTED_EDGE('',*,*,#18311,.F.); +#8169=ORIENTED_EDGE('',*,*,#18504,.T.); +#8170=ORIENTED_EDGE('',*,*,#18509,.T.); +#8171=ORIENTED_EDGE('',*,*,#18507,.F.); +#8172=EDGE_LOOP('',(#8168,#8169,#8170,#8171)); +#8173=FACE_OUTER_BOUND('',#8172,.F.); +#8174=ADVANCED_FACE('',(#8173),#8167,.T.); +#8175=ORIENTED_EDGE('',*,*,#18503,.F.); +#8176=ORIENTED_EDGE('',*,*,#18506,.F.); +#8177=ORIENTED_EDGE('',*,*,#18508,.F.); +#8178=ORIENTED_EDGE('',*,*,#18509,.F.); +#8179=EDGE_LOOP('',(#8175,#8176,#8177,#8178)); +#8180=FACE_OUTER_BOUND('',#8179,.F.); +#8181=ADVANCED_FACE('',(#8180),#7485,.T.); +#8182=AXIS2_PLACEMENT_3D('',#1302,#84,#72); +#8183=PLANE('',#8182); +#8184=ORIENTED_EDGE('',*,*,#18314,.F.); +#8185=ORIENTED_EDGE('',*,*,#18510,.T.); +#8186=ORIENTED_EDGE('',*,*,#18511,.T.); +#8187=ORIENTED_EDGE('',*,*,#18512,.F.); +#8188=EDGE_LOOP('',(#8184,#8185,#8186,#8187)); +#8189=FACE_OUTER_BOUND('',#8188,.F.); +#8190=ADVANCED_FACE('',(#8189),#8183,.T.); +#8191=AXIS2_PLACEMENT_3D('',#1308,#80,#84); +#8192=PLANE('',#8191); +#8193=ORIENTED_EDGE('',*,*,#18317,.F.); +#8194=ORIENTED_EDGE('',*,*,#18513,.T.); +#8195=ORIENTED_EDGE('',*,*,#18514,.T.); +#8196=ORIENTED_EDGE('',*,*,#18510,.F.); +#8197=EDGE_LOOP('',(#8193,#8194,#8195,#8196)); +#8198=FACE_OUTER_BOUND('',#8197,.F.); +#8199=ADVANCED_FACE('',(#8198),#8192,.T.); +#8200=AXIS2_PLACEMENT_3D('',#1306,#76,#80); +#8201=PLANE('',#8200); +#8202=ORIENTED_EDGE('',*,*,#18316,.F.); +#8203=ORIENTED_EDGE('',*,*,#18515,.T.); +#8204=ORIENTED_EDGE('',*,*,#18516,.T.); +#8205=ORIENTED_EDGE('',*,*,#18513,.F.); +#8206=EDGE_LOOP('',(#8202,#8203,#8204,#8205)); +#8207=FACE_OUTER_BOUND('',#8206,.F.); +#8208=ADVANCED_FACE('',(#8207),#8201,.T.); +#8209=AXIS2_PLACEMENT_3D('',#1304,#72,#76); +#8210=PLANE('',#8209); +#8211=ORIENTED_EDGE('',*,*,#18315,.F.); +#8212=ORIENTED_EDGE('',*,*,#18512,.T.); +#8213=ORIENTED_EDGE('',*,*,#18517,.T.); +#8214=ORIENTED_EDGE('',*,*,#18515,.F.); +#8215=EDGE_LOOP('',(#8211,#8212,#8213,#8214)); +#8216=FACE_OUTER_BOUND('',#8215,.F.); +#8217=ADVANCED_FACE('',(#8216),#8210,.T.); +#8218=ORIENTED_EDGE('',*,*,#18511,.F.); +#8219=ORIENTED_EDGE('',*,*,#18514,.F.); +#8220=ORIENTED_EDGE('',*,*,#18516,.F.); +#8221=ORIENTED_EDGE('',*,*,#18517,.F.); +#8222=EDGE_LOOP('',(#8218,#8219,#8220,#8221)); +#8223=FACE_OUTER_BOUND('',#8222,.F.); +#8224=ADVANCED_FACE('',(#8223),#7485,.T.); +#8225=AXIS2_PLACEMENT_3D('',#1310,#84,#72); +#8226=PLANE('',#8225); +#8227=ORIENTED_EDGE('',*,*,#18318,.F.); +#8228=ORIENTED_EDGE('',*,*,#18518,.T.); +#8229=ORIENTED_EDGE('',*,*,#18519,.T.); +#8230=ORIENTED_EDGE('',*,*,#18520,.F.); +#8231=EDGE_LOOP('',(#8227,#8228,#8229,#8230)); +#8232=FACE_OUTER_BOUND('',#8231,.F.); +#8233=ADVANCED_FACE('',(#8232),#8226,.T.); +#8234=AXIS2_PLACEMENT_3D('',#1316,#80,#84); +#8235=PLANE('',#8234); +#8236=ORIENTED_EDGE('',*,*,#18321,.F.); +#8237=ORIENTED_EDGE('',*,*,#18521,.T.); +#8238=ORIENTED_EDGE('',*,*,#18522,.T.); +#8239=ORIENTED_EDGE('',*,*,#18518,.F.); +#8240=EDGE_LOOP('',(#8236,#8237,#8238,#8239)); +#8241=FACE_OUTER_BOUND('',#8240,.F.); +#8242=ADVANCED_FACE('',(#8241),#8235,.T.); +#8243=AXIS2_PLACEMENT_3D('',#1314,#76,#80); +#8244=PLANE('',#8243); +#8245=ORIENTED_EDGE('',*,*,#18320,.F.); +#8246=ORIENTED_EDGE('',*,*,#18523,.T.); +#8247=ORIENTED_EDGE('',*,*,#18524,.T.); +#8248=ORIENTED_EDGE('',*,*,#18521,.F.); +#8249=EDGE_LOOP('',(#8245,#8246,#8247,#8248)); +#8250=FACE_OUTER_BOUND('',#8249,.F.); +#8251=ADVANCED_FACE('',(#8250),#8244,.T.); +#8252=AXIS2_PLACEMENT_3D('',#1312,#72,#76); +#8253=PLANE('',#8252); +#8254=ORIENTED_EDGE('',*,*,#18319,.F.); +#8255=ORIENTED_EDGE('',*,*,#18520,.T.); +#8256=ORIENTED_EDGE('',*,*,#18525,.T.); +#8257=ORIENTED_EDGE('',*,*,#18523,.F.); +#8258=EDGE_LOOP('',(#8254,#8255,#8256,#8257)); +#8259=FACE_OUTER_BOUND('',#8258,.F.); +#8260=ADVANCED_FACE('',(#8259),#8253,.T.); +#8261=ORIENTED_EDGE('',*,*,#18519,.F.); +#8262=ORIENTED_EDGE('',*,*,#18522,.F.); +#8263=ORIENTED_EDGE('',*,*,#18524,.F.); +#8264=ORIENTED_EDGE('',*,*,#18525,.F.); +#8265=EDGE_LOOP('',(#8261,#8262,#8263,#8264)); +#8266=FACE_OUTER_BOUND('',#8265,.F.); +#8267=ADVANCED_FACE('',(#8266),#7485,.T.); +#8268=AXIS2_PLACEMENT_3D('',#1318,#84,#72); +#8269=PLANE('',#8268); +#8270=ORIENTED_EDGE('',*,*,#18322,.F.); +#8271=ORIENTED_EDGE('',*,*,#18526,.T.); +#8272=ORIENTED_EDGE('',*,*,#18527,.T.); +#8273=ORIENTED_EDGE('',*,*,#18528,.F.); +#8274=EDGE_LOOP('',(#8270,#8271,#8272,#8273)); +#8275=FACE_OUTER_BOUND('',#8274,.F.); +#8276=ADVANCED_FACE('',(#8275),#8269,.T.); +#8277=AXIS2_PLACEMENT_3D('',#1324,#80,#84); +#8278=PLANE('',#8277); +#8279=ORIENTED_EDGE('',*,*,#18325,.F.); +#8280=ORIENTED_EDGE('',*,*,#18529,.T.); +#8281=ORIENTED_EDGE('',*,*,#18530,.T.); +#8282=ORIENTED_EDGE('',*,*,#18526,.F.); +#8283=EDGE_LOOP('',(#8279,#8280,#8281,#8282)); +#8284=FACE_OUTER_BOUND('',#8283,.F.); +#8285=ADVANCED_FACE('',(#8284),#8278,.T.); +#8286=AXIS2_PLACEMENT_3D('',#1322,#76,#80); +#8287=PLANE('',#8286); +#8288=ORIENTED_EDGE('',*,*,#18324,.F.); +#8289=ORIENTED_EDGE('',*,*,#18531,.T.); +#8290=ORIENTED_EDGE('',*,*,#18532,.T.); +#8291=ORIENTED_EDGE('',*,*,#18529,.F.); +#8292=EDGE_LOOP('',(#8288,#8289,#8290,#8291)); +#8293=FACE_OUTER_BOUND('',#8292,.F.); +#8294=ADVANCED_FACE('',(#8293),#8287,.T.); +#8295=AXIS2_PLACEMENT_3D('',#1320,#72,#76); +#8296=PLANE('',#8295); +#8297=ORIENTED_EDGE('',*,*,#18323,.F.); +#8298=ORIENTED_EDGE('',*,*,#18528,.T.); +#8299=ORIENTED_EDGE('',*,*,#18533,.T.); +#8300=ORIENTED_EDGE('',*,*,#18531,.F.); +#8301=EDGE_LOOP('',(#8297,#8298,#8299,#8300)); +#8302=FACE_OUTER_BOUND('',#8301,.F.); +#8303=ADVANCED_FACE('',(#8302),#8296,.T.); +#8304=ORIENTED_EDGE('',*,*,#18527,.F.); +#8305=ORIENTED_EDGE('',*,*,#18530,.F.); +#8306=ORIENTED_EDGE('',*,*,#18532,.F.); +#8307=ORIENTED_EDGE('',*,*,#18533,.F.); +#8308=EDGE_LOOP('',(#8304,#8305,#8306,#8307)); +#8309=FACE_OUTER_BOUND('',#8308,.F.); +#8310=ADVANCED_FACE('',(#8309),#7485,.T.); +#8311=AXIS2_PLACEMENT_3D('',#1326,#84,#72); +#8312=PLANE('',#8311); +#8313=ORIENTED_EDGE('',*,*,#18326,.F.); +#8314=ORIENTED_EDGE('',*,*,#18534,.T.); +#8315=ORIENTED_EDGE('',*,*,#18535,.T.); +#8316=ORIENTED_EDGE('',*,*,#18536,.F.); +#8317=EDGE_LOOP('',(#8313,#8314,#8315,#8316)); +#8318=FACE_OUTER_BOUND('',#8317,.F.); +#8319=ADVANCED_FACE('',(#8318),#8312,.T.); +#8320=AXIS2_PLACEMENT_3D('',#1332,#80,#84); +#8321=PLANE('',#8320); +#8322=ORIENTED_EDGE('',*,*,#18329,.F.); +#8323=ORIENTED_EDGE('',*,*,#18537,.T.); +#8324=ORIENTED_EDGE('',*,*,#18538,.T.); +#8325=ORIENTED_EDGE('',*,*,#18534,.F.); +#8326=EDGE_LOOP('',(#8322,#8323,#8324,#8325)); +#8327=FACE_OUTER_BOUND('',#8326,.F.); +#8328=ADVANCED_FACE('',(#8327),#8321,.T.); +#8329=AXIS2_PLACEMENT_3D('',#1330,#76,#80); +#8330=PLANE('',#8329); +#8331=ORIENTED_EDGE('',*,*,#18328,.F.); +#8332=ORIENTED_EDGE('',*,*,#18539,.T.); +#8333=ORIENTED_EDGE('',*,*,#18540,.T.); +#8334=ORIENTED_EDGE('',*,*,#18537,.F.); +#8335=EDGE_LOOP('',(#8331,#8332,#8333,#8334)); +#8336=FACE_OUTER_BOUND('',#8335,.F.); +#8337=ADVANCED_FACE('',(#8336),#8330,.T.); +#8338=AXIS2_PLACEMENT_3D('',#1328,#72,#76); +#8339=PLANE('',#8338); +#8340=ORIENTED_EDGE('',*,*,#18327,.F.); +#8341=ORIENTED_EDGE('',*,*,#18536,.T.); +#8342=ORIENTED_EDGE('',*,*,#18541,.T.); +#8343=ORIENTED_EDGE('',*,*,#18539,.F.); +#8344=EDGE_LOOP('',(#8340,#8341,#8342,#8343)); +#8345=FACE_OUTER_BOUND('',#8344,.F.); +#8346=ADVANCED_FACE('',(#8345),#8339,.T.); +#8347=ORIENTED_EDGE('',*,*,#18535,.F.); +#8348=ORIENTED_EDGE('',*,*,#18538,.F.); +#8349=ORIENTED_EDGE('',*,*,#18540,.F.); +#8350=ORIENTED_EDGE('',*,*,#18541,.F.); +#8351=EDGE_LOOP('',(#8347,#8348,#8349,#8350)); +#8352=FACE_OUTER_BOUND('',#8351,.F.); +#8353=ADVANCED_FACE('',(#8352),#7485,.T.); +#8354=AXIS2_PLACEMENT_3D('',#1334,#84,#72); +#8355=PLANE('',#8354); +#8356=ORIENTED_EDGE('',*,*,#18330,.F.); +#8357=ORIENTED_EDGE('',*,*,#18542,.T.); +#8358=ORIENTED_EDGE('',*,*,#18543,.T.); +#8359=ORIENTED_EDGE('',*,*,#18544,.F.); +#8360=EDGE_LOOP('',(#8356,#8357,#8358,#8359)); +#8361=FACE_OUTER_BOUND('',#8360,.F.); +#8362=ADVANCED_FACE('',(#8361),#8355,.T.); +#8363=AXIS2_PLACEMENT_3D('',#1340,#80,#84); +#8364=PLANE('',#8363); +#8365=ORIENTED_EDGE('',*,*,#18333,.F.); +#8366=ORIENTED_EDGE('',*,*,#18545,.T.); +#8367=ORIENTED_EDGE('',*,*,#18546,.T.); +#8368=ORIENTED_EDGE('',*,*,#18542,.F.); +#8369=EDGE_LOOP('',(#8365,#8366,#8367,#8368)); +#8370=FACE_OUTER_BOUND('',#8369,.F.); +#8371=ADVANCED_FACE('',(#8370),#8364,.T.); +#8372=AXIS2_PLACEMENT_3D('',#1338,#76,#80); +#8373=PLANE('',#8372); +#8374=ORIENTED_EDGE('',*,*,#18332,.F.); +#8375=ORIENTED_EDGE('',*,*,#18547,.T.); +#8376=ORIENTED_EDGE('',*,*,#18548,.T.); +#8377=ORIENTED_EDGE('',*,*,#18545,.F.); +#8378=EDGE_LOOP('',(#8374,#8375,#8376,#8377)); +#8379=FACE_OUTER_BOUND('',#8378,.F.); +#8380=ADVANCED_FACE('',(#8379),#8373,.T.); +#8381=AXIS2_PLACEMENT_3D('',#1336,#72,#76); +#8382=PLANE('',#8381); +#8383=ORIENTED_EDGE('',*,*,#18331,.F.); +#8384=ORIENTED_EDGE('',*,*,#18544,.T.); +#8385=ORIENTED_EDGE('',*,*,#18549,.T.); +#8386=ORIENTED_EDGE('',*,*,#18547,.F.); +#8387=EDGE_LOOP('',(#8383,#8384,#8385,#8386)); +#8388=FACE_OUTER_BOUND('',#8387,.F.); +#8389=ADVANCED_FACE('',(#8388),#8382,.T.); +#8390=ORIENTED_EDGE('',*,*,#18543,.F.); +#8391=ORIENTED_EDGE('',*,*,#18546,.F.); +#8392=ORIENTED_EDGE('',*,*,#18548,.F.); +#8393=ORIENTED_EDGE('',*,*,#18549,.F.); +#8394=EDGE_LOOP('',(#8390,#8391,#8392,#8393)); +#8395=FACE_OUTER_BOUND('',#8394,.F.); +#8396=ADVANCED_FACE('',(#8395),#7485,.T.); +#8397=AXIS2_PLACEMENT_3D('',#1342,#84,#72); +#8398=PLANE('',#8397); +#8399=ORIENTED_EDGE('',*,*,#18334,.F.); +#8400=ORIENTED_EDGE('',*,*,#18550,.T.); +#8401=ORIENTED_EDGE('',*,*,#18551,.T.); +#8402=ORIENTED_EDGE('',*,*,#18552,.F.); +#8403=EDGE_LOOP('',(#8399,#8400,#8401,#8402)); +#8404=FACE_OUTER_BOUND('',#8403,.F.); +#8405=ADVANCED_FACE('',(#8404),#8398,.T.); +#8406=AXIS2_PLACEMENT_3D('',#1348,#80,#84); +#8407=PLANE('',#8406); +#8408=ORIENTED_EDGE('',*,*,#18337,.F.); +#8409=ORIENTED_EDGE('',*,*,#18553,.T.); +#8410=ORIENTED_EDGE('',*,*,#18554,.T.); +#8411=ORIENTED_EDGE('',*,*,#18550,.F.); +#8412=EDGE_LOOP('',(#8408,#8409,#8410,#8411)); +#8413=FACE_OUTER_BOUND('',#8412,.F.); +#8414=ADVANCED_FACE('',(#8413),#8407,.T.); +#8415=AXIS2_PLACEMENT_3D('',#1346,#76,#80); +#8416=PLANE('',#8415); +#8417=ORIENTED_EDGE('',*,*,#18336,.F.); +#8418=ORIENTED_EDGE('',*,*,#18555,.T.); +#8419=ORIENTED_EDGE('',*,*,#18556,.T.); +#8420=ORIENTED_EDGE('',*,*,#18553,.F.); +#8421=EDGE_LOOP('',(#8417,#8418,#8419,#8420)); +#8422=FACE_OUTER_BOUND('',#8421,.F.); +#8423=ADVANCED_FACE('',(#8422),#8416,.T.); +#8424=AXIS2_PLACEMENT_3D('',#1344,#72,#76); +#8425=PLANE('',#8424); +#8426=ORIENTED_EDGE('',*,*,#18335,.F.); +#8427=ORIENTED_EDGE('',*,*,#18552,.T.); +#8428=ORIENTED_EDGE('',*,*,#18557,.T.); +#8429=ORIENTED_EDGE('',*,*,#18555,.F.); +#8430=EDGE_LOOP('',(#8426,#8427,#8428,#8429)); +#8431=FACE_OUTER_BOUND('',#8430,.F.); +#8432=ADVANCED_FACE('',(#8431),#8425,.T.); +#8433=ORIENTED_EDGE('',*,*,#18551,.F.); +#8434=ORIENTED_EDGE('',*,*,#18554,.F.); +#8435=ORIENTED_EDGE('',*,*,#18556,.F.); +#8436=ORIENTED_EDGE('',*,*,#18557,.F.); +#8437=EDGE_LOOP('',(#8433,#8434,#8435,#8436)); +#8438=FACE_OUTER_BOUND('',#8437,.F.); +#8439=ADVANCED_FACE('',(#8438),#7485,.T.); +#8440=AXIS2_PLACEMENT_3D('',#1350,#84,#72); +#8441=PLANE('',#8440); +#8442=ORIENTED_EDGE('',*,*,#18338,.F.); +#8443=ORIENTED_EDGE('',*,*,#18558,.T.); +#8444=ORIENTED_EDGE('',*,*,#18559,.T.); +#8445=ORIENTED_EDGE('',*,*,#18560,.F.); +#8446=EDGE_LOOP('',(#8442,#8443,#8444,#8445)); +#8447=FACE_OUTER_BOUND('',#8446,.F.); +#8448=ADVANCED_FACE('',(#8447),#8441,.T.); +#8449=AXIS2_PLACEMENT_3D('',#1356,#80,#84); +#8450=PLANE('',#8449); +#8451=ORIENTED_EDGE('',*,*,#18341,.F.); +#8452=ORIENTED_EDGE('',*,*,#18561,.T.); +#8453=ORIENTED_EDGE('',*,*,#18562,.T.); +#8454=ORIENTED_EDGE('',*,*,#18558,.F.); +#8455=EDGE_LOOP('',(#8451,#8452,#8453,#8454)); +#8456=FACE_OUTER_BOUND('',#8455,.F.); +#8457=ADVANCED_FACE('',(#8456),#8450,.T.); +#8458=AXIS2_PLACEMENT_3D('',#1354,#76,#80); +#8459=PLANE('',#8458); +#8460=ORIENTED_EDGE('',*,*,#18340,.F.); +#8461=ORIENTED_EDGE('',*,*,#18563,.T.); +#8462=ORIENTED_EDGE('',*,*,#18564,.T.); +#8463=ORIENTED_EDGE('',*,*,#18561,.F.); +#8464=EDGE_LOOP('',(#8460,#8461,#8462,#8463)); +#8465=FACE_OUTER_BOUND('',#8464,.F.); +#8466=ADVANCED_FACE('',(#8465),#8459,.T.); +#8467=AXIS2_PLACEMENT_3D('',#1352,#72,#76); +#8468=PLANE('',#8467); +#8469=ORIENTED_EDGE('',*,*,#18339,.F.); +#8470=ORIENTED_EDGE('',*,*,#18560,.T.); +#8471=ORIENTED_EDGE('',*,*,#18565,.T.); +#8472=ORIENTED_EDGE('',*,*,#18563,.F.); +#8473=EDGE_LOOP('',(#8469,#8470,#8471,#8472)); +#8474=FACE_OUTER_BOUND('',#8473,.F.); +#8475=ADVANCED_FACE('',(#8474),#8468,.T.); +#8476=ORIENTED_EDGE('',*,*,#18559,.F.); +#8477=ORIENTED_EDGE('',*,*,#18562,.F.); +#8478=ORIENTED_EDGE('',*,*,#18564,.F.); +#8479=ORIENTED_EDGE('',*,*,#18565,.F.); +#8480=EDGE_LOOP('',(#8476,#8477,#8478,#8479)); +#8481=FACE_OUTER_BOUND('',#8480,.F.); +#8482=ADVANCED_FACE('',(#8481),#7485,.T.); +#8483=AXIS2_PLACEMENT_3D('',#1358,#84,#72); +#8484=PLANE('',#8483); +#8485=ORIENTED_EDGE('',*,*,#18342,.F.); +#8486=ORIENTED_EDGE('',*,*,#18566,.T.); +#8487=ORIENTED_EDGE('',*,*,#18567,.T.); +#8488=ORIENTED_EDGE('',*,*,#18568,.F.); +#8489=EDGE_LOOP('',(#8485,#8486,#8487,#8488)); +#8490=FACE_OUTER_BOUND('',#8489,.F.); +#8491=ADVANCED_FACE('',(#8490),#8484,.T.); +#8492=AXIS2_PLACEMENT_3D('',#1364,#80,#84); +#8493=PLANE('',#8492); +#8494=ORIENTED_EDGE('',*,*,#18345,.F.); +#8495=ORIENTED_EDGE('',*,*,#18569,.T.); +#8496=ORIENTED_EDGE('',*,*,#18570,.T.); +#8497=ORIENTED_EDGE('',*,*,#18566,.F.); +#8498=EDGE_LOOP('',(#8494,#8495,#8496,#8497)); +#8499=FACE_OUTER_BOUND('',#8498,.F.); +#8500=ADVANCED_FACE('',(#8499),#8493,.T.); +#8501=AXIS2_PLACEMENT_3D('',#1362,#76,#80); +#8502=PLANE('',#8501); +#8503=ORIENTED_EDGE('',*,*,#18344,.F.); +#8504=ORIENTED_EDGE('',*,*,#18571,.T.); +#8505=ORIENTED_EDGE('',*,*,#18572,.T.); +#8506=ORIENTED_EDGE('',*,*,#18569,.F.); +#8507=EDGE_LOOP('',(#8503,#8504,#8505,#8506)); +#8508=FACE_OUTER_BOUND('',#8507,.F.); +#8509=ADVANCED_FACE('',(#8508),#8502,.T.); +#8510=AXIS2_PLACEMENT_3D('',#1360,#72,#76); +#8511=PLANE('',#8510); +#8512=ORIENTED_EDGE('',*,*,#18343,.F.); +#8513=ORIENTED_EDGE('',*,*,#18568,.T.); +#8514=ORIENTED_EDGE('',*,*,#18573,.T.); +#8515=ORIENTED_EDGE('',*,*,#18571,.F.); +#8516=EDGE_LOOP('',(#8512,#8513,#8514,#8515)); +#8517=FACE_OUTER_BOUND('',#8516,.F.); +#8518=ADVANCED_FACE('',(#8517),#8511,.T.); +#8519=ORIENTED_EDGE('',*,*,#18567,.F.); +#8520=ORIENTED_EDGE('',*,*,#18570,.F.); +#8521=ORIENTED_EDGE('',*,*,#18572,.F.); +#8522=ORIENTED_EDGE('',*,*,#18573,.F.); +#8523=EDGE_LOOP('',(#8519,#8520,#8521,#8522)); +#8524=FACE_OUTER_BOUND('',#8523,.F.); +#8525=ADVANCED_FACE('',(#8524),#7485,.T.); +#8526=AXIS2_PLACEMENT_3D('',#1366,#84,#72); +#8527=PLANE('',#8526); +#8528=ORIENTED_EDGE('',*,*,#18346,.F.); +#8529=ORIENTED_EDGE('',*,*,#18574,.T.); +#8530=ORIENTED_EDGE('',*,*,#18575,.T.); +#8531=ORIENTED_EDGE('',*,*,#18576,.F.); +#8532=EDGE_LOOP('',(#8528,#8529,#8530,#8531)); +#8533=FACE_OUTER_BOUND('',#8532,.F.); +#8534=ADVANCED_FACE('',(#8533),#8527,.T.); +#8535=AXIS2_PLACEMENT_3D('',#1372,#80,#84); +#8536=PLANE('',#8535); +#8537=ORIENTED_EDGE('',*,*,#18349,.F.); +#8538=ORIENTED_EDGE('',*,*,#18577,.T.); +#8539=ORIENTED_EDGE('',*,*,#18578,.T.); +#8540=ORIENTED_EDGE('',*,*,#18574,.F.); +#8541=EDGE_LOOP('',(#8537,#8538,#8539,#8540)); +#8542=FACE_OUTER_BOUND('',#8541,.F.); +#8543=ADVANCED_FACE('',(#8542),#8536,.T.); +#8544=AXIS2_PLACEMENT_3D('',#1370,#76,#80); +#8545=PLANE('',#8544); +#8546=ORIENTED_EDGE('',*,*,#18348,.F.); +#8547=ORIENTED_EDGE('',*,*,#18579,.T.); +#8548=ORIENTED_EDGE('',*,*,#18580,.T.); +#8549=ORIENTED_EDGE('',*,*,#18577,.F.); +#8550=EDGE_LOOP('',(#8546,#8547,#8548,#8549)); +#8551=FACE_OUTER_BOUND('',#8550,.F.); +#8552=ADVANCED_FACE('',(#8551),#8545,.T.); +#8553=AXIS2_PLACEMENT_3D('',#1368,#72,#76); +#8554=PLANE('',#8553); +#8555=ORIENTED_EDGE('',*,*,#18347,.F.); +#8556=ORIENTED_EDGE('',*,*,#18576,.T.); +#8557=ORIENTED_EDGE('',*,*,#18581,.T.); +#8558=ORIENTED_EDGE('',*,*,#18579,.F.); +#8559=EDGE_LOOP('',(#8555,#8556,#8557,#8558)); +#8560=FACE_OUTER_BOUND('',#8559,.F.); +#8561=ADVANCED_FACE('',(#8560),#8554,.T.); +#8562=ORIENTED_EDGE('',*,*,#18575,.F.); +#8563=ORIENTED_EDGE('',*,*,#18578,.F.); +#8564=ORIENTED_EDGE('',*,*,#18580,.F.); +#8565=ORIENTED_EDGE('',*,*,#18581,.F.); +#8566=EDGE_LOOP('',(#8562,#8563,#8564,#8565)); +#8567=FACE_OUTER_BOUND('',#8566,.F.); +#8568=ADVANCED_FACE('',(#8567),#7485,.T.); +#8569=AXIS2_PLACEMENT_3D('',#1374,#84,#72); +#8570=PLANE('',#8569); +#8571=ORIENTED_EDGE('',*,*,#18350,.F.); +#8572=ORIENTED_EDGE('',*,*,#18582,.T.); +#8573=ORIENTED_EDGE('',*,*,#18583,.T.); +#8574=ORIENTED_EDGE('',*,*,#18584,.F.); +#8575=EDGE_LOOP('',(#8571,#8572,#8573,#8574)); +#8576=FACE_OUTER_BOUND('',#8575,.F.); +#8577=ADVANCED_FACE('',(#8576),#8570,.T.); +#8578=AXIS2_PLACEMENT_3D('',#1380,#80,#84); +#8579=PLANE('',#8578); +#8580=ORIENTED_EDGE('',*,*,#18353,.F.); +#8581=ORIENTED_EDGE('',*,*,#18585,.T.); +#8582=ORIENTED_EDGE('',*,*,#18586,.T.); +#8583=ORIENTED_EDGE('',*,*,#18582,.F.); +#8584=EDGE_LOOP('',(#8580,#8581,#8582,#8583)); +#8585=FACE_OUTER_BOUND('',#8584,.F.); +#8586=ADVANCED_FACE('',(#8585),#8579,.T.); +#8587=AXIS2_PLACEMENT_3D('',#1378,#76,#80); +#8588=PLANE('',#8587); +#8589=ORIENTED_EDGE('',*,*,#18352,.F.); +#8590=ORIENTED_EDGE('',*,*,#18587,.T.); +#8591=ORIENTED_EDGE('',*,*,#18588,.T.); +#8592=ORIENTED_EDGE('',*,*,#18585,.F.); +#8593=EDGE_LOOP('',(#8589,#8590,#8591,#8592)); +#8594=FACE_OUTER_BOUND('',#8593,.F.); +#8595=ADVANCED_FACE('',(#8594),#8588,.T.); +#8596=AXIS2_PLACEMENT_3D('',#1376,#72,#76); +#8597=PLANE('',#8596); +#8598=ORIENTED_EDGE('',*,*,#18351,.F.); +#8599=ORIENTED_EDGE('',*,*,#18584,.T.); +#8600=ORIENTED_EDGE('',*,*,#18589,.T.); +#8601=ORIENTED_EDGE('',*,*,#18587,.F.); +#8602=EDGE_LOOP('',(#8598,#8599,#8600,#8601)); +#8603=FACE_OUTER_BOUND('',#8602,.F.); +#8604=ADVANCED_FACE('',(#8603),#8597,.T.); +#8605=ORIENTED_EDGE('',*,*,#18583,.F.); +#8606=ORIENTED_EDGE('',*,*,#18586,.F.); +#8607=ORIENTED_EDGE('',*,*,#18588,.F.); +#8608=ORIENTED_EDGE('',*,*,#18589,.F.); +#8609=EDGE_LOOP('',(#8605,#8606,#8607,#8608)); +#8610=FACE_OUTER_BOUND('',#8609,.F.); +#8611=ADVANCED_FACE('',(#8610),#7485,.T.); +#8612=AXIS2_PLACEMENT_3D('',#1382,#84,#72); +#8613=PLANE('',#8612); +#8614=ORIENTED_EDGE('',*,*,#18354,.F.); +#8615=ORIENTED_EDGE('',*,*,#18590,.T.); +#8616=ORIENTED_EDGE('',*,*,#18591,.T.); +#8617=ORIENTED_EDGE('',*,*,#18592,.F.); +#8618=EDGE_LOOP('',(#8614,#8615,#8616,#8617)); +#8619=FACE_OUTER_BOUND('',#8618,.F.); +#8620=ADVANCED_FACE('',(#8619),#8613,.T.); +#8621=AXIS2_PLACEMENT_3D('',#1388,#80,#84); +#8622=PLANE('',#8621); +#8623=ORIENTED_EDGE('',*,*,#18357,.F.); +#8624=ORIENTED_EDGE('',*,*,#18593,.T.); +#8625=ORIENTED_EDGE('',*,*,#18594,.T.); +#8626=ORIENTED_EDGE('',*,*,#18590,.F.); +#8627=EDGE_LOOP('',(#8623,#8624,#8625,#8626)); +#8628=FACE_OUTER_BOUND('',#8627,.F.); +#8629=ADVANCED_FACE('',(#8628),#8622,.T.); +#8630=AXIS2_PLACEMENT_3D('',#1386,#76,#80); +#8631=PLANE('',#8630); +#8632=ORIENTED_EDGE('',*,*,#18356,.F.); +#8633=ORIENTED_EDGE('',*,*,#18595,.T.); +#8634=ORIENTED_EDGE('',*,*,#18596,.T.); +#8635=ORIENTED_EDGE('',*,*,#18593,.F.); +#8636=EDGE_LOOP('',(#8632,#8633,#8634,#8635)); +#8637=FACE_OUTER_BOUND('',#8636,.F.); +#8638=ADVANCED_FACE('',(#8637),#8631,.T.); +#8639=AXIS2_PLACEMENT_3D('',#1384,#72,#76); +#8640=PLANE('',#8639); +#8641=ORIENTED_EDGE('',*,*,#18355,.F.); +#8642=ORIENTED_EDGE('',*,*,#18592,.T.); +#8643=ORIENTED_EDGE('',*,*,#18597,.T.); +#8644=ORIENTED_EDGE('',*,*,#18595,.F.); +#8645=EDGE_LOOP('',(#8641,#8642,#8643,#8644)); +#8646=FACE_OUTER_BOUND('',#8645,.F.); +#8647=ADVANCED_FACE('',(#8646),#8640,.T.); +#8648=ORIENTED_EDGE('',*,*,#18591,.F.); +#8649=ORIENTED_EDGE('',*,*,#18594,.F.); +#8650=ORIENTED_EDGE('',*,*,#18596,.F.); +#8651=ORIENTED_EDGE('',*,*,#18597,.F.); +#8652=EDGE_LOOP('',(#8648,#8649,#8650,#8651)); +#8653=FACE_OUTER_BOUND('',#8652,.F.); +#8654=ADVANCED_FACE('',(#8653),#7485,.T.); +#8655=AXIS2_PLACEMENT_3D('',#1390,#84,#72); +#8656=PLANE('',#8655); +#8657=ORIENTED_EDGE('',*,*,#18358,.F.); +#8658=ORIENTED_EDGE('',*,*,#18598,.T.); +#8659=ORIENTED_EDGE('',*,*,#18599,.T.); +#8660=ORIENTED_EDGE('',*,*,#18600,.F.); +#8661=EDGE_LOOP('',(#8657,#8658,#8659,#8660)); +#8662=FACE_OUTER_BOUND('',#8661,.F.); +#8663=ADVANCED_FACE('',(#8662),#8656,.T.); +#8664=AXIS2_PLACEMENT_3D('',#1396,#80,#84); +#8665=PLANE('',#8664); +#8666=ORIENTED_EDGE('',*,*,#18361,.F.); +#8667=ORIENTED_EDGE('',*,*,#18601,.T.); +#8668=ORIENTED_EDGE('',*,*,#18602,.T.); +#8669=ORIENTED_EDGE('',*,*,#18598,.F.); +#8670=EDGE_LOOP('',(#8666,#8667,#8668,#8669)); +#8671=FACE_OUTER_BOUND('',#8670,.F.); +#8672=ADVANCED_FACE('',(#8671),#8665,.T.); +#8673=AXIS2_PLACEMENT_3D('',#1394,#76,#80); +#8674=PLANE('',#8673); +#8675=ORIENTED_EDGE('',*,*,#18360,.F.); +#8676=ORIENTED_EDGE('',*,*,#18603,.T.); +#8677=ORIENTED_EDGE('',*,*,#18604,.T.); +#8678=ORIENTED_EDGE('',*,*,#18601,.F.); +#8679=EDGE_LOOP('',(#8675,#8676,#8677,#8678)); +#8680=FACE_OUTER_BOUND('',#8679,.F.); +#8681=ADVANCED_FACE('',(#8680),#8674,.T.); +#8682=AXIS2_PLACEMENT_3D('',#1392,#72,#76); +#8683=PLANE('',#8682); +#8684=ORIENTED_EDGE('',*,*,#18359,.F.); +#8685=ORIENTED_EDGE('',*,*,#18600,.T.); +#8686=ORIENTED_EDGE('',*,*,#18605,.T.); +#8687=ORIENTED_EDGE('',*,*,#18603,.F.); +#8688=EDGE_LOOP('',(#8684,#8685,#8686,#8687)); +#8689=FACE_OUTER_BOUND('',#8688,.F.); +#8690=ADVANCED_FACE('',(#8689),#8683,.T.); +#8691=ORIENTED_EDGE('',*,*,#18599,.F.); +#8692=ORIENTED_EDGE('',*,*,#18602,.F.); +#8693=ORIENTED_EDGE('',*,*,#18604,.F.); +#8694=ORIENTED_EDGE('',*,*,#18605,.F.); +#8695=EDGE_LOOP('',(#8691,#8692,#8693,#8694)); +#8696=FACE_OUTER_BOUND('',#8695,.F.); +#8697=ADVANCED_FACE('',(#8696),#7485,.T.); +#8698=AXIS2_PLACEMENT_3D('',#1398,#84,#72); +#8699=PLANE('',#8698); +#8700=ORIENTED_EDGE('',*,*,#18362,.F.); +#8701=ORIENTED_EDGE('',*,*,#18606,.T.); +#8702=ORIENTED_EDGE('',*,*,#18607,.T.); +#8703=ORIENTED_EDGE('',*,*,#18608,.F.); +#8704=EDGE_LOOP('',(#8700,#8701,#8702,#8703)); +#8705=FACE_OUTER_BOUND('',#8704,.F.); +#8706=ADVANCED_FACE('',(#8705),#8699,.T.); +#8707=AXIS2_PLACEMENT_3D('',#1404,#80,#84); +#8708=PLANE('',#8707); +#8709=ORIENTED_EDGE('',*,*,#18365,.F.); +#8710=ORIENTED_EDGE('',*,*,#18609,.T.); +#8711=ORIENTED_EDGE('',*,*,#18610,.T.); +#8712=ORIENTED_EDGE('',*,*,#18606,.F.); +#8713=EDGE_LOOP('',(#8709,#8710,#8711,#8712)); +#8714=FACE_OUTER_BOUND('',#8713,.F.); +#8715=ADVANCED_FACE('',(#8714),#8708,.T.); +#8716=AXIS2_PLACEMENT_3D('',#1402,#76,#80); +#8717=PLANE('',#8716); +#8718=ORIENTED_EDGE('',*,*,#18364,.F.); +#8719=ORIENTED_EDGE('',*,*,#18611,.T.); +#8720=ORIENTED_EDGE('',*,*,#18612,.T.); +#8721=ORIENTED_EDGE('',*,*,#18609,.F.); +#8722=EDGE_LOOP('',(#8718,#8719,#8720,#8721)); +#8723=FACE_OUTER_BOUND('',#8722,.F.); +#8724=ADVANCED_FACE('',(#8723),#8717,.T.); +#8725=AXIS2_PLACEMENT_3D('',#1400,#72,#76); +#8726=PLANE('',#8725); +#8727=ORIENTED_EDGE('',*,*,#18363,.F.); +#8728=ORIENTED_EDGE('',*,*,#18608,.T.); +#8729=ORIENTED_EDGE('',*,*,#18613,.T.); +#8730=ORIENTED_EDGE('',*,*,#18611,.F.); +#8731=EDGE_LOOP('',(#8727,#8728,#8729,#8730)); +#8732=FACE_OUTER_BOUND('',#8731,.F.); +#8733=ADVANCED_FACE('',(#8732),#8726,.T.); +#8734=ORIENTED_EDGE('',*,*,#18607,.F.); +#8735=ORIENTED_EDGE('',*,*,#18610,.F.); +#8736=ORIENTED_EDGE('',*,*,#18612,.F.); +#8737=ORIENTED_EDGE('',*,*,#18613,.F.); +#8738=EDGE_LOOP('',(#8734,#8735,#8736,#8737)); +#8739=FACE_OUTER_BOUND('',#8738,.F.); +#8740=ADVANCED_FACE('',(#8739),#7485,.T.); +#8741=AXIS2_PLACEMENT_3D('',#1406,#84,#72); +#8742=PLANE('',#8741); +#8743=ORIENTED_EDGE('',*,*,#18366,.F.); +#8744=ORIENTED_EDGE('',*,*,#18614,.T.); +#8745=ORIENTED_EDGE('',*,*,#18615,.T.); +#8746=ORIENTED_EDGE('',*,*,#18616,.F.); +#8747=EDGE_LOOP('',(#8743,#8744,#8745,#8746)); +#8748=FACE_OUTER_BOUND('',#8747,.F.); +#8749=ADVANCED_FACE('',(#8748),#8742,.T.); +#8750=AXIS2_PLACEMENT_3D('',#1412,#80,#84); +#8751=PLANE('',#8750); +#8752=ORIENTED_EDGE('',*,*,#18369,.F.); +#8753=ORIENTED_EDGE('',*,*,#18617,.T.); +#8754=ORIENTED_EDGE('',*,*,#18618,.T.); +#8755=ORIENTED_EDGE('',*,*,#18614,.F.); +#8756=EDGE_LOOP('',(#8752,#8753,#8754,#8755)); +#8757=FACE_OUTER_BOUND('',#8756,.F.); +#8758=ADVANCED_FACE('',(#8757),#8751,.T.); +#8759=AXIS2_PLACEMENT_3D('',#1410,#76,#80); +#8760=PLANE('',#8759); +#8761=ORIENTED_EDGE('',*,*,#18368,.F.); +#8762=ORIENTED_EDGE('',*,*,#18619,.T.); +#8763=ORIENTED_EDGE('',*,*,#18620,.T.); +#8764=ORIENTED_EDGE('',*,*,#18617,.F.); +#8765=EDGE_LOOP('',(#8761,#8762,#8763,#8764)); +#8766=FACE_OUTER_BOUND('',#8765,.F.); +#8767=ADVANCED_FACE('',(#8766),#8760,.T.); +#8768=AXIS2_PLACEMENT_3D('',#1408,#72,#76); +#8769=PLANE('',#8768); +#8770=ORIENTED_EDGE('',*,*,#18367,.F.); +#8771=ORIENTED_EDGE('',*,*,#18616,.T.); +#8772=ORIENTED_EDGE('',*,*,#18621,.T.); +#8773=ORIENTED_EDGE('',*,*,#18619,.F.); +#8774=EDGE_LOOP('',(#8770,#8771,#8772,#8773)); +#8775=FACE_OUTER_BOUND('',#8774,.F.); +#8776=ADVANCED_FACE('',(#8775),#8769,.T.); +#8777=ORIENTED_EDGE('',*,*,#18615,.F.); +#8778=ORIENTED_EDGE('',*,*,#18618,.F.); +#8779=ORIENTED_EDGE('',*,*,#18620,.F.); +#8780=ORIENTED_EDGE('',*,*,#18621,.F.); +#8781=EDGE_LOOP('',(#8777,#8778,#8779,#8780)); +#8782=FACE_OUTER_BOUND('',#8781,.F.); +#8783=ADVANCED_FACE('',(#8782),#7485,.T.); +#8784=AXIS2_PLACEMENT_3D('',#1414,#84,#72); +#8785=PLANE('',#8784); +#8786=ORIENTED_EDGE('',*,*,#18370,.F.); +#8787=ORIENTED_EDGE('',*,*,#18622,.T.); +#8788=ORIENTED_EDGE('',*,*,#18623,.T.); +#8789=ORIENTED_EDGE('',*,*,#18624,.F.); +#8790=EDGE_LOOP('',(#8786,#8787,#8788,#8789)); +#8791=FACE_OUTER_BOUND('',#8790,.F.); +#8792=ADVANCED_FACE('',(#8791),#8785,.T.); +#8793=AXIS2_PLACEMENT_3D('',#1420,#80,#84); +#8794=PLANE('',#8793); +#8795=ORIENTED_EDGE('',*,*,#18373,.F.); +#8796=ORIENTED_EDGE('',*,*,#18625,.T.); +#8797=ORIENTED_EDGE('',*,*,#18626,.T.); +#8798=ORIENTED_EDGE('',*,*,#18622,.F.); +#8799=EDGE_LOOP('',(#8795,#8796,#8797,#8798)); +#8800=FACE_OUTER_BOUND('',#8799,.F.); +#8801=ADVANCED_FACE('',(#8800),#8794,.T.); +#8802=AXIS2_PLACEMENT_3D('',#1418,#76,#80); +#8803=PLANE('',#8802); +#8804=ORIENTED_EDGE('',*,*,#18372,.F.); +#8805=ORIENTED_EDGE('',*,*,#18627,.T.); +#8806=ORIENTED_EDGE('',*,*,#18628,.T.); +#8807=ORIENTED_EDGE('',*,*,#18625,.F.); +#8808=EDGE_LOOP('',(#8804,#8805,#8806,#8807)); +#8809=FACE_OUTER_BOUND('',#8808,.F.); +#8810=ADVANCED_FACE('',(#8809),#8803,.T.); +#8811=AXIS2_PLACEMENT_3D('',#1416,#72,#76); +#8812=PLANE('',#8811); +#8813=ORIENTED_EDGE('',*,*,#18371,.F.); +#8814=ORIENTED_EDGE('',*,*,#18624,.T.); +#8815=ORIENTED_EDGE('',*,*,#18629,.T.); +#8816=ORIENTED_EDGE('',*,*,#18627,.F.); +#8817=EDGE_LOOP('',(#8813,#8814,#8815,#8816)); +#8818=FACE_OUTER_BOUND('',#8817,.F.); +#8819=ADVANCED_FACE('',(#8818),#8812,.T.); +#8820=ORIENTED_EDGE('',*,*,#18623,.F.); +#8821=ORIENTED_EDGE('',*,*,#18626,.F.); +#8822=ORIENTED_EDGE('',*,*,#18628,.F.); +#8823=ORIENTED_EDGE('',*,*,#18629,.F.); +#8824=EDGE_LOOP('',(#8820,#8821,#8822,#8823)); +#8825=FACE_OUTER_BOUND('',#8824,.F.); +#8826=ADVANCED_FACE('',(#8825),#7485,.T.); +#8827=CARTESIAN_POINT('',(-1.873E1,4.13E0,-1.055E1)); +#8828=AXIS2_PLACEMENT_3D('',#8827,#84,#72); +#8829=PLANE('',#8828); +#8830=ORIENTED_EDGE('',*,*,#18150,.T.); +#8831=ORIENTED_EDGE('',*,*,#18630,.T.); +#8832=ORIENTED_EDGE('',*,*,#18631,.T.); +#8833=ORIENTED_EDGE('',*,*,#18632,.F.); +#8834=ORIENTED_EDGE('',*,*,#18151,.F.); +#8835=EDGE_LOOP('',(#8830,#8831,#8832,#8833,#8834)); +#8836=FACE_OUTER_BOUND('',#8835,.F.); +#8837=ADVANCED_FACE('',(#8836),#8829,.T.); +#8838=CARTESIAN_POINT('',(-1.937E1,4.13E0,-1.055E1)); +#8839=AXIS2_PLACEMENT_3D('',#8838,#80,#84); +#8840=PLANE('',#8839); +#8841=ORIENTED_EDGE('',*,*,#18149,.F.); +#8842=ORIENTED_EDGE('',*,*,#18633,.T.); +#8843=ORIENTED_EDGE('',*,*,#18634,.T.); +#8844=ORIENTED_EDGE('',*,*,#18630,.F.); +#8845=EDGE_LOOP('',(#8841,#8842,#8843,#8844)); +#8846=FACE_OUTER_BOUND('',#8845,.F.); +#8847=ADVANCED_FACE('',(#8846),#8840,.T.); +#8848=AXIS2_PLACEMENT_3D('',#1970,#76,#80); +#8849=PLANE('',#8848); +#8850=ORIENTED_EDGE('',*,*,#18148,.F.); +#8851=ORIENTED_EDGE('',*,*,#18153,.T.); +#8852=ORIENTED_EDGE('',*,*,#18635,.T.); +#8853=ORIENTED_EDGE('',*,*,#18636,.T.); +#8854=ORIENTED_EDGE('',*,*,#18633,.F.); +#8855=EDGE_LOOP('',(#8850,#8851,#8852,#8853,#8854)); +#8856=FACE_OUTER_BOUND('',#8855,.F.); +#8857=ADVANCED_FACE('',(#8856),#8849,.T.); +#8858=AXIS2_PLACEMENT_3D('',#1424,#72,#76); +#8859=PLANE('',#8858); +#8860=ORIENTED_EDGE('',*,*,#18152,.F.); +#8861=ORIENTED_EDGE('',*,*,#18632,.T.); +#8862=ORIENTED_EDGE('',*,*,#18637,.T.); +#8863=ORIENTED_EDGE('',*,*,#18635,.F.); +#8864=EDGE_LOOP('',(#8860,#8861,#8862,#8863)); +#8865=FACE_OUTER_BOUND('',#8864,.F.); +#8866=ADVANCED_FACE('',(#8865),#8859,.T.); +#8867=ORIENTED_EDGE('',*,*,#18631,.F.); +#8868=ORIENTED_EDGE('',*,*,#18634,.F.); +#8869=ORIENTED_EDGE('',*,*,#18636,.F.); +#8870=ORIENTED_EDGE('',*,*,#18637,.F.); +#8871=EDGE_LOOP('',(#8867,#8868,#8869,#8870)); +#8872=FACE_OUTER_BOUND('',#8871,.F.); +#8873=ADVANCED_FACE('',(#8872),#7485,.T.); +#8874=CARTESIAN_POINT('',(-2.127E1,4.13E0,-1.055E1)); +#8875=AXIS2_PLACEMENT_3D('',#8874,#84,#72); +#8876=PLANE('',#8875); +#8877=ORIENTED_EDGE('',*,*,#18146,.T.); +#8878=ORIENTED_EDGE('',*,*,#18638,.T.); +#8879=ORIENTED_EDGE('',*,*,#18639,.T.); +#8880=ORIENTED_EDGE('',*,*,#18640,.F.); +#8881=ORIENTED_EDGE('',*,*,#18154,.F.); +#8882=EDGE_LOOP('',(#8877,#8878,#8879,#8880,#8881)); +#8883=FACE_OUTER_BOUND('',#8882,.F.); +#8884=ADVANCED_FACE('',(#8883),#8876,.T.); +#8885=CARTESIAN_POINT('',(-2.191E1,4.13E0,-1.055E1)); +#8886=AXIS2_PLACEMENT_3D('',#8885,#80,#84); +#8887=PLANE('',#8886); +#8888=ORIENTED_EDGE('',*,*,#18145,.F.); +#8889=ORIENTED_EDGE('',*,*,#18641,.T.); +#8890=ORIENTED_EDGE('',*,*,#18642,.T.); +#8891=ORIENTED_EDGE('',*,*,#18638,.F.); +#8892=EDGE_LOOP('',(#8888,#8889,#8890,#8891)); +#8893=FACE_OUTER_BOUND('',#8892,.F.); +#8894=ADVANCED_FACE('',(#8893),#8887,.T.); +#8895=AXIS2_PLACEMENT_3D('',#1984,#76,#80); +#8896=PLANE('',#8895); +#8897=ORIENTED_EDGE('',*,*,#18144,.F.); +#8898=ORIENTED_EDGE('',*,*,#18156,.T.); +#8899=ORIENTED_EDGE('',*,*,#18643,.T.); +#8900=ORIENTED_EDGE('',*,*,#18644,.T.); +#8901=ORIENTED_EDGE('',*,*,#18641,.F.); +#8902=EDGE_LOOP('',(#8897,#8898,#8899,#8900,#8901)); +#8903=FACE_OUTER_BOUND('',#8902,.F.); +#8904=ADVANCED_FACE('',(#8903),#8896,.T.); +#8905=AXIS2_PLACEMENT_3D('',#1430,#72,#76); +#8906=PLANE('',#8905); +#8907=ORIENTED_EDGE('',*,*,#18155,.F.); +#8908=ORIENTED_EDGE('',*,*,#18640,.T.); +#8909=ORIENTED_EDGE('',*,*,#18645,.T.); +#8910=ORIENTED_EDGE('',*,*,#18643,.F.); +#8911=EDGE_LOOP('',(#8907,#8908,#8909,#8910)); +#8912=FACE_OUTER_BOUND('',#8911,.F.); +#8913=ADVANCED_FACE('',(#8912),#8906,.T.); +#8914=ORIENTED_EDGE('',*,*,#18639,.F.); +#8915=ORIENTED_EDGE('',*,*,#18642,.F.); +#8916=ORIENTED_EDGE('',*,*,#18644,.F.); +#8917=ORIENTED_EDGE('',*,*,#18645,.F.); +#8918=EDGE_LOOP('',(#8914,#8915,#8916,#8917)); +#8919=FACE_OUTER_BOUND('',#8918,.F.); +#8920=ADVANCED_FACE('',(#8919),#7485,.T.); +#8921=CARTESIAN_POINT('',(-2.381E1,4.13E0,-1.055E1)); +#8922=AXIS2_PLACEMENT_3D('',#8921,#84,#72); +#8923=PLANE('',#8922); +#8924=ORIENTED_EDGE('',*,*,#18142,.T.); +#8925=ORIENTED_EDGE('',*,*,#18646,.T.); +#8926=ORIENTED_EDGE('',*,*,#18647,.T.); +#8927=ORIENTED_EDGE('',*,*,#18648,.F.); +#8928=ORIENTED_EDGE('',*,*,#18157,.F.); +#8929=EDGE_LOOP('',(#8924,#8925,#8926,#8927,#8928)); +#8930=FACE_OUTER_BOUND('',#8929,.F.); +#8931=ADVANCED_FACE('',(#8930),#8923,.T.); +#8932=CARTESIAN_POINT('',(-2.445E1,4.13E0,-1.055E1)); +#8933=AXIS2_PLACEMENT_3D('',#8932,#80,#84); +#8934=PLANE('',#8933); +#8935=ORIENTED_EDGE('',*,*,#18141,.F.); +#8936=ORIENTED_EDGE('',*,*,#18649,.T.); +#8937=ORIENTED_EDGE('',*,*,#18650,.T.); +#8938=ORIENTED_EDGE('',*,*,#18646,.F.); +#8939=EDGE_LOOP('',(#8935,#8936,#8937,#8938)); +#8940=FACE_OUTER_BOUND('',#8939,.F.); +#8941=ADVANCED_FACE('',(#8940),#8934,.T.); +#8942=AXIS2_PLACEMENT_3D('',#1998,#76,#80); +#8943=PLANE('',#8942); +#8944=ORIENTED_EDGE('',*,*,#18140,.F.); +#8945=ORIENTED_EDGE('',*,*,#18159,.T.); +#8946=ORIENTED_EDGE('',*,*,#18651,.T.); +#8947=ORIENTED_EDGE('',*,*,#18652,.T.); +#8948=ORIENTED_EDGE('',*,*,#18649,.F.); +#8949=EDGE_LOOP('',(#8944,#8945,#8946,#8947,#8948)); +#8950=FACE_OUTER_BOUND('',#8949,.F.); +#8951=ADVANCED_FACE('',(#8950),#8943,.T.); +#8952=AXIS2_PLACEMENT_3D('',#1435,#72,#76); +#8953=PLANE('',#8952); +#8954=ORIENTED_EDGE('',*,*,#18158,.F.); +#8955=ORIENTED_EDGE('',*,*,#18648,.T.); +#8956=ORIENTED_EDGE('',*,*,#18653,.T.); +#8957=ORIENTED_EDGE('',*,*,#18651,.F.); +#8958=EDGE_LOOP('',(#8954,#8955,#8956,#8957)); +#8959=FACE_OUTER_BOUND('',#8958,.F.); +#8960=ADVANCED_FACE('',(#8959),#8953,.T.); +#8961=ORIENTED_EDGE('',*,*,#18647,.F.); +#8962=ORIENTED_EDGE('',*,*,#18650,.F.); +#8963=ORIENTED_EDGE('',*,*,#18652,.F.); +#8964=ORIENTED_EDGE('',*,*,#18653,.F.); +#8965=EDGE_LOOP('',(#8961,#8962,#8963,#8964)); +#8966=FACE_OUTER_BOUND('',#8965,.F.); +#8967=ADVANCED_FACE('',(#8966),#7485,.T.); +#8968=CARTESIAN_POINT('',(-2.635E1,4.13E0,-1.055E1)); +#8969=AXIS2_PLACEMENT_3D('',#8968,#84,#72); +#8970=PLANE('',#8969); +#8971=ORIENTED_EDGE('',*,*,#18138,.T.); +#8972=ORIENTED_EDGE('',*,*,#18654,.T.); +#8973=ORIENTED_EDGE('',*,*,#18655,.T.); +#8974=ORIENTED_EDGE('',*,*,#18656,.F.); +#8975=ORIENTED_EDGE('',*,*,#18160,.F.); +#8976=EDGE_LOOP('',(#8971,#8972,#8973,#8974,#8975)); +#8977=FACE_OUTER_BOUND('',#8976,.F.); +#8978=ADVANCED_FACE('',(#8977),#8970,.T.); +#8979=CARTESIAN_POINT('',(-2.699E1,4.13E0,-1.055E1)); +#8980=AXIS2_PLACEMENT_3D('',#8979,#80,#84); +#8981=PLANE('',#8980); +#8982=ORIENTED_EDGE('',*,*,#18137,.F.); +#8983=ORIENTED_EDGE('',*,*,#18657,.T.); +#8984=ORIENTED_EDGE('',*,*,#18658,.T.); +#8985=ORIENTED_EDGE('',*,*,#18654,.F.); +#8986=EDGE_LOOP('',(#8982,#8983,#8984,#8985)); +#8987=FACE_OUTER_BOUND('',#8986,.F.); +#8988=ADVANCED_FACE('',(#8987),#8981,.T.); +#8989=AXIS2_PLACEMENT_3D('',#2012,#76,#80); +#8990=PLANE('',#8989); +#8991=ORIENTED_EDGE('',*,*,#18136,.F.); +#8992=ORIENTED_EDGE('',*,*,#18162,.T.); +#8993=ORIENTED_EDGE('',*,*,#18659,.T.); +#8994=ORIENTED_EDGE('',*,*,#18660,.T.); +#8995=ORIENTED_EDGE('',*,*,#18657,.F.); +#8996=EDGE_LOOP('',(#8991,#8992,#8993,#8994,#8995)); +#8997=FACE_OUTER_BOUND('',#8996,.F.); +#8998=ADVANCED_FACE('',(#8997),#8990,.T.); +#8999=AXIS2_PLACEMENT_3D('',#1440,#72,#76); +#9000=PLANE('',#8999); +#9001=ORIENTED_EDGE('',*,*,#18161,.F.); +#9002=ORIENTED_EDGE('',*,*,#18656,.T.); +#9003=ORIENTED_EDGE('',*,*,#18661,.T.); +#9004=ORIENTED_EDGE('',*,*,#18659,.F.); +#9005=EDGE_LOOP('',(#9001,#9002,#9003,#9004)); +#9006=FACE_OUTER_BOUND('',#9005,.F.); +#9007=ADVANCED_FACE('',(#9006),#9000,.T.); +#9008=ORIENTED_EDGE('',*,*,#18655,.F.); +#9009=ORIENTED_EDGE('',*,*,#18658,.F.); +#9010=ORIENTED_EDGE('',*,*,#18660,.F.); +#9011=ORIENTED_EDGE('',*,*,#18661,.F.); +#9012=EDGE_LOOP('',(#9008,#9009,#9010,#9011)); +#9013=FACE_OUTER_BOUND('',#9012,.F.); +#9014=ADVANCED_FACE('',(#9013),#7485,.T.); +#9015=CARTESIAN_POINT('',(-2.889E1,4.13E0,-1.055E1)); +#9016=AXIS2_PLACEMENT_3D('',#9015,#84,#72); +#9017=PLANE('',#9016); +#9018=ORIENTED_EDGE('',*,*,#18134,.T.); +#9019=ORIENTED_EDGE('',*,*,#18662,.T.); +#9020=ORIENTED_EDGE('',*,*,#18663,.T.); +#9021=ORIENTED_EDGE('',*,*,#18664,.F.); +#9022=ORIENTED_EDGE('',*,*,#18163,.F.); +#9023=EDGE_LOOP('',(#9018,#9019,#9020,#9021,#9022)); +#9024=FACE_OUTER_BOUND('',#9023,.F.); +#9025=ADVANCED_FACE('',(#9024),#9017,.T.); +#9026=CARTESIAN_POINT('',(-2.953E1,4.13E0,-1.055E1)); +#9027=AXIS2_PLACEMENT_3D('',#9026,#80,#84); +#9028=PLANE('',#9027); +#9029=ORIENTED_EDGE('',*,*,#18133,.F.); +#9030=ORIENTED_EDGE('',*,*,#18665,.T.); +#9031=ORIENTED_EDGE('',*,*,#18666,.T.); +#9032=ORIENTED_EDGE('',*,*,#18662,.F.); +#9033=EDGE_LOOP('',(#9029,#9030,#9031,#9032)); +#9034=FACE_OUTER_BOUND('',#9033,.F.); +#9035=ADVANCED_FACE('',(#9034),#9028,.T.); +#9036=AXIS2_PLACEMENT_3D('',#2026,#76,#80); +#9037=PLANE('',#9036); +#9038=ORIENTED_EDGE('',*,*,#18132,.F.); +#9039=ORIENTED_EDGE('',*,*,#18165,.T.); +#9040=ORIENTED_EDGE('',*,*,#18667,.T.); +#9041=ORIENTED_EDGE('',*,*,#18668,.T.); +#9042=ORIENTED_EDGE('',*,*,#18665,.F.); +#9043=EDGE_LOOP('',(#9038,#9039,#9040,#9041,#9042)); +#9044=FACE_OUTER_BOUND('',#9043,.F.); +#9045=ADVANCED_FACE('',(#9044),#9037,.T.); +#9046=AXIS2_PLACEMENT_3D('',#1445,#72,#76); +#9047=PLANE('',#9046); +#9048=ORIENTED_EDGE('',*,*,#18164,.F.); +#9049=ORIENTED_EDGE('',*,*,#18664,.T.); +#9050=ORIENTED_EDGE('',*,*,#18669,.T.); +#9051=ORIENTED_EDGE('',*,*,#18667,.F.); +#9052=EDGE_LOOP('',(#9048,#9049,#9050,#9051)); +#9053=FACE_OUTER_BOUND('',#9052,.F.); +#9054=ADVANCED_FACE('',(#9053),#9047,.T.); +#9055=ORIENTED_EDGE('',*,*,#18663,.F.); +#9056=ORIENTED_EDGE('',*,*,#18666,.F.); +#9057=ORIENTED_EDGE('',*,*,#18668,.F.); +#9058=ORIENTED_EDGE('',*,*,#18669,.F.); +#9059=EDGE_LOOP('',(#9055,#9056,#9057,#9058)); +#9060=FACE_OUTER_BOUND('',#9059,.F.); +#9061=ADVANCED_FACE('',(#9060),#7485,.T.); +#9062=CARTESIAN_POINT('',(-3.143E1,4.13E0,-1.055E1)); +#9063=AXIS2_PLACEMENT_3D('',#9062,#84,#72); +#9064=PLANE('',#9063); +#9065=ORIENTED_EDGE('',*,*,#18130,.T.); +#9066=ORIENTED_EDGE('',*,*,#18670,.T.); +#9067=ORIENTED_EDGE('',*,*,#18671,.T.); +#9068=ORIENTED_EDGE('',*,*,#18672,.F.); +#9069=ORIENTED_EDGE('',*,*,#18166,.F.); +#9070=EDGE_LOOP('',(#9065,#9066,#9067,#9068,#9069)); +#9071=FACE_OUTER_BOUND('',#9070,.F.); +#9072=ADVANCED_FACE('',(#9071),#9064,.T.); +#9073=CARTESIAN_POINT('',(-3.207E1,4.13E0,-1.055E1)); +#9074=AXIS2_PLACEMENT_3D('',#9073,#80,#84); +#9075=PLANE('',#9074); +#9076=ORIENTED_EDGE('',*,*,#18129,.F.); +#9077=ORIENTED_EDGE('',*,*,#18673,.T.); +#9078=ORIENTED_EDGE('',*,*,#18674,.T.); +#9079=ORIENTED_EDGE('',*,*,#18670,.F.); +#9080=EDGE_LOOP('',(#9076,#9077,#9078,#9079)); +#9081=FACE_OUTER_BOUND('',#9080,.F.); +#9082=ADVANCED_FACE('',(#9081),#9075,.T.); +#9083=AXIS2_PLACEMENT_3D('',#2040,#76,#80); +#9084=PLANE('',#9083); +#9085=ORIENTED_EDGE('',*,*,#18128,.F.); +#9086=ORIENTED_EDGE('',*,*,#18168,.T.); +#9087=ORIENTED_EDGE('',*,*,#18675,.T.); +#9088=ORIENTED_EDGE('',*,*,#18676,.T.); +#9089=ORIENTED_EDGE('',*,*,#18673,.F.); +#9090=EDGE_LOOP('',(#9085,#9086,#9087,#9088,#9089)); +#9091=FACE_OUTER_BOUND('',#9090,.F.); +#9092=ADVANCED_FACE('',(#9091),#9084,.T.); +#9093=AXIS2_PLACEMENT_3D('',#1450,#72,#76); +#9094=PLANE('',#9093); +#9095=ORIENTED_EDGE('',*,*,#18167,.F.); +#9096=ORIENTED_EDGE('',*,*,#18672,.T.); +#9097=ORIENTED_EDGE('',*,*,#18677,.T.); +#9098=ORIENTED_EDGE('',*,*,#18675,.F.); +#9099=EDGE_LOOP('',(#9095,#9096,#9097,#9098)); +#9100=FACE_OUTER_BOUND('',#9099,.F.); +#9101=ADVANCED_FACE('',(#9100),#9094,.T.); +#9102=ORIENTED_EDGE('',*,*,#18671,.F.); +#9103=ORIENTED_EDGE('',*,*,#18674,.F.); +#9104=ORIENTED_EDGE('',*,*,#18676,.F.); +#9105=ORIENTED_EDGE('',*,*,#18677,.F.); +#9106=EDGE_LOOP('',(#9102,#9103,#9104,#9105)); +#9107=FACE_OUTER_BOUND('',#9106,.F.); +#9108=ADVANCED_FACE('',(#9107),#7485,.T.); +#9109=CARTESIAN_POINT('',(-3.397E1,4.13E0,-1.055E1)); +#9110=AXIS2_PLACEMENT_3D('',#9109,#84,#72); +#9111=PLANE('',#9110); +#9112=ORIENTED_EDGE('',*,*,#18126,.T.); +#9113=ORIENTED_EDGE('',*,*,#18678,.T.); +#9114=ORIENTED_EDGE('',*,*,#18679,.T.); +#9115=ORIENTED_EDGE('',*,*,#18680,.F.); +#9116=ORIENTED_EDGE('',*,*,#18169,.F.); +#9117=EDGE_LOOP('',(#9112,#9113,#9114,#9115,#9116)); +#9118=FACE_OUTER_BOUND('',#9117,.F.); +#9119=ADVANCED_FACE('',(#9118),#9111,.T.); +#9120=CARTESIAN_POINT('',(-3.461E1,4.13E0,-1.055E1)); +#9121=AXIS2_PLACEMENT_3D('',#9120,#80,#84); +#9122=PLANE('',#9121); +#9123=ORIENTED_EDGE('',*,*,#18125,.F.); +#9124=ORIENTED_EDGE('',*,*,#18681,.T.); +#9125=ORIENTED_EDGE('',*,*,#18682,.T.); +#9126=ORIENTED_EDGE('',*,*,#18678,.F.); +#9127=EDGE_LOOP('',(#9123,#9124,#9125,#9126)); +#9128=FACE_OUTER_BOUND('',#9127,.F.); +#9129=ADVANCED_FACE('',(#9128),#9122,.T.); +#9130=AXIS2_PLACEMENT_3D('',#2054,#76,#80); +#9131=PLANE('',#9130); +#9132=ORIENTED_EDGE('',*,*,#18124,.F.); +#9133=ORIENTED_EDGE('',*,*,#18171,.T.); +#9134=ORIENTED_EDGE('',*,*,#18683,.T.); +#9135=ORIENTED_EDGE('',*,*,#18684,.T.); +#9136=ORIENTED_EDGE('',*,*,#18681,.F.); +#9137=EDGE_LOOP('',(#9132,#9133,#9134,#9135,#9136)); +#9138=FACE_OUTER_BOUND('',#9137,.F.); +#9139=ADVANCED_FACE('',(#9138),#9131,.T.); +#9140=AXIS2_PLACEMENT_3D('',#1455,#72,#76); +#9141=PLANE('',#9140); +#9142=ORIENTED_EDGE('',*,*,#18170,.F.); +#9143=ORIENTED_EDGE('',*,*,#18680,.T.); +#9144=ORIENTED_EDGE('',*,*,#18685,.T.); +#9145=ORIENTED_EDGE('',*,*,#18683,.F.); +#9146=EDGE_LOOP('',(#9142,#9143,#9144,#9145)); +#9147=FACE_OUTER_BOUND('',#9146,.F.); +#9148=ADVANCED_FACE('',(#9147),#9141,.T.); +#9149=ORIENTED_EDGE('',*,*,#18679,.F.); +#9150=ORIENTED_EDGE('',*,*,#18682,.F.); +#9151=ORIENTED_EDGE('',*,*,#18684,.F.); +#9152=ORIENTED_EDGE('',*,*,#18685,.F.); +#9153=EDGE_LOOP('',(#9149,#9150,#9151,#9152)); +#9154=FACE_OUTER_BOUND('',#9153,.F.); +#9155=ADVANCED_FACE('',(#9154),#7485,.T.); +#9156=CARTESIAN_POINT('',(-3.651E1,4.13E0,-1.055E1)); +#9157=AXIS2_PLACEMENT_3D('',#9156,#84,#72); +#9158=PLANE('',#9157); +#9159=ORIENTED_EDGE('',*,*,#18122,.T.); +#9160=ORIENTED_EDGE('',*,*,#18686,.T.); +#9161=ORIENTED_EDGE('',*,*,#18687,.T.); +#9162=ORIENTED_EDGE('',*,*,#18688,.F.); +#9163=ORIENTED_EDGE('',*,*,#18172,.F.); +#9164=EDGE_LOOP('',(#9159,#9160,#9161,#9162,#9163)); +#9165=FACE_OUTER_BOUND('',#9164,.F.); +#9166=ADVANCED_FACE('',(#9165),#9158,.T.); +#9167=CARTESIAN_POINT('',(-3.715E1,4.13E0,-1.055E1)); +#9168=AXIS2_PLACEMENT_3D('',#9167,#80,#84); +#9169=PLANE('',#9168); +#9170=ORIENTED_EDGE('',*,*,#18121,.F.); +#9171=ORIENTED_EDGE('',*,*,#18689,.T.); +#9172=ORIENTED_EDGE('',*,*,#18690,.T.); +#9173=ORIENTED_EDGE('',*,*,#18686,.F.); +#9174=EDGE_LOOP('',(#9170,#9171,#9172,#9173)); +#9175=FACE_OUTER_BOUND('',#9174,.F.); +#9176=ADVANCED_FACE('',(#9175),#9169,.T.); +#9177=AXIS2_PLACEMENT_3D('',#2068,#76,#80); +#9178=PLANE('',#9177); +#9179=ORIENTED_EDGE('',*,*,#18120,.F.); +#9180=ORIENTED_EDGE('',*,*,#18174,.T.); +#9181=ORIENTED_EDGE('',*,*,#18691,.T.); +#9182=ORIENTED_EDGE('',*,*,#18692,.T.); +#9183=ORIENTED_EDGE('',*,*,#18689,.F.); +#9184=EDGE_LOOP('',(#9179,#9180,#9181,#9182,#9183)); +#9185=FACE_OUTER_BOUND('',#9184,.F.); +#9186=ADVANCED_FACE('',(#9185),#9178,.T.); +#9187=AXIS2_PLACEMENT_3D('',#1460,#72,#76); +#9188=PLANE('',#9187); +#9189=ORIENTED_EDGE('',*,*,#18173,.F.); +#9190=ORIENTED_EDGE('',*,*,#18688,.T.); +#9191=ORIENTED_EDGE('',*,*,#18693,.T.); +#9192=ORIENTED_EDGE('',*,*,#18691,.F.); +#9193=EDGE_LOOP('',(#9189,#9190,#9191,#9192)); +#9194=FACE_OUTER_BOUND('',#9193,.F.); +#9195=ADVANCED_FACE('',(#9194),#9188,.T.); +#9196=ORIENTED_EDGE('',*,*,#18687,.F.); +#9197=ORIENTED_EDGE('',*,*,#18690,.F.); +#9198=ORIENTED_EDGE('',*,*,#18692,.F.); +#9199=ORIENTED_EDGE('',*,*,#18693,.F.); +#9200=EDGE_LOOP('',(#9196,#9197,#9198,#9199)); +#9201=FACE_OUTER_BOUND('',#9200,.F.); +#9202=ADVANCED_FACE('',(#9201),#7485,.T.); +#9203=CARTESIAN_POINT('',(-3.905E1,4.13E0,-1.055E1)); +#9204=AXIS2_PLACEMENT_3D('',#9203,#84,#72); +#9205=PLANE('',#9204); +#9206=ORIENTED_EDGE('',*,*,#18118,.T.); +#9207=ORIENTED_EDGE('',*,*,#18694,.T.); +#9208=ORIENTED_EDGE('',*,*,#18695,.T.); +#9209=ORIENTED_EDGE('',*,*,#18696,.F.); +#9210=ORIENTED_EDGE('',*,*,#18175,.F.); +#9211=EDGE_LOOP('',(#9206,#9207,#9208,#9209,#9210)); +#9212=FACE_OUTER_BOUND('',#9211,.F.); +#9213=ADVANCED_FACE('',(#9212),#9205,.T.); +#9214=CARTESIAN_POINT('',(-3.969E1,4.13E0,-1.055E1)); +#9215=AXIS2_PLACEMENT_3D('',#9214,#80,#84); +#9216=PLANE('',#9215); +#9217=ORIENTED_EDGE('',*,*,#18117,.F.); +#9218=ORIENTED_EDGE('',*,*,#18697,.T.); +#9219=ORIENTED_EDGE('',*,*,#18698,.T.); +#9220=ORIENTED_EDGE('',*,*,#18694,.F.); +#9221=EDGE_LOOP('',(#9217,#9218,#9219,#9220)); +#9222=FACE_OUTER_BOUND('',#9221,.F.); +#9223=ADVANCED_FACE('',(#9222),#9216,.T.); +#9224=AXIS2_PLACEMENT_3D('',#2082,#76,#80); +#9225=PLANE('',#9224); +#9226=ORIENTED_EDGE('',*,*,#18116,.F.); +#9227=ORIENTED_EDGE('',*,*,#18177,.T.); +#9228=ORIENTED_EDGE('',*,*,#18699,.T.); +#9229=ORIENTED_EDGE('',*,*,#18700,.T.); +#9230=ORIENTED_EDGE('',*,*,#18697,.F.); +#9231=EDGE_LOOP('',(#9226,#9227,#9228,#9229,#9230)); +#9232=FACE_OUTER_BOUND('',#9231,.F.); +#9233=ADVANCED_FACE('',(#9232),#9225,.T.); +#9234=AXIS2_PLACEMENT_3D('',#1465,#72,#76); +#9235=PLANE('',#9234); +#9236=ORIENTED_EDGE('',*,*,#18176,.F.); +#9237=ORIENTED_EDGE('',*,*,#18696,.T.); +#9238=ORIENTED_EDGE('',*,*,#18701,.T.); +#9239=ORIENTED_EDGE('',*,*,#18699,.F.); +#9240=EDGE_LOOP('',(#9236,#9237,#9238,#9239)); +#9241=FACE_OUTER_BOUND('',#9240,.F.); +#9242=ADVANCED_FACE('',(#9241),#9235,.T.); +#9243=ORIENTED_EDGE('',*,*,#18695,.F.); +#9244=ORIENTED_EDGE('',*,*,#18698,.F.); +#9245=ORIENTED_EDGE('',*,*,#18700,.F.); +#9246=ORIENTED_EDGE('',*,*,#18701,.F.); +#9247=EDGE_LOOP('',(#9243,#9244,#9245,#9246)); +#9248=FACE_OUTER_BOUND('',#9247,.F.); +#9249=ADVANCED_FACE('',(#9248),#7485,.T.); +#9250=AXIS2_PLACEMENT_3D('',#2093,#928,#921); +#9251=PLANE('',#9250); +#9252=ORIENTED_EDGE('',*,*,#18020,.T.); +#9253=ORIENTED_EDGE('',*,*,#18702,.F.); +#9254=ORIENTED_EDGE('',*,*,#18178,.T.); +#9255=ORIENTED_EDGE('',*,*,#18008,.T.); +#9256=EDGE_LOOP('',(#9252,#9253,#9254,#9255)); +#9257=FACE_OUTER_BOUND('',#9256,.F.); +#9258=ADVANCED_FACE('',(#9257),#9251,.F.); +#9259=AXIS2_PLACEMENT_3D('',#82,#84,#80); +#9260=PLANE('',#9259); +#9261=ORIENTED_EDGE('',*,*,#18019,.F.); +#9262=ORIENTED_EDGE('',*,*,#18703,.F.); +#9263=ORIENTED_EDGE('',*,*,#17590,.T.); +#9264=ORIENTED_EDGE('',*,*,#18704,.T.); +#9265=ORIENTED_EDGE('',*,*,#18012,.F.); +#9266=ORIENTED_EDGE('',*,*,#18114,.T.); +#9267=ORIENTED_EDGE('',*,*,#18179,.T.); +#9268=ORIENTED_EDGE('',*,*,#18702,.T.); +#9269=EDGE_LOOP('',(#9261,#9262,#9263,#9264,#9265,#9266,#9267,#9268)); +#9270=FACE_OUTER_BOUND('',#9269,.F.); +#9271=ADVANCED_FACE('',(#9270),#9260,.T.); +#9272=AXIS2_PLACEMENT_3D('',#86,#72,#84); +#9273=PLANE('',#9272); +#9274=ORIENTED_EDGE('',*,*,#17591,.T.); +#9275=ORIENTED_EDGE('',*,*,#18703,.T.); +#9276=ORIENTED_EDGE('',*,*,#18018,.F.); +#9277=ORIENTED_EDGE('',*,*,#18705,.F.); +#9278=EDGE_LOOP('',(#9274,#9275,#9276,#9277)); +#9279=FACE_OUTER_BOUND('',#9278,.F.); +#9280=ADVANCED_FACE('',(#9279),#9273,.T.); +#9281=AXIS2_PLACEMENT_3D('',#88,#84,#80); +#9282=PLANE('',#9281); +#9283=ORIENTED_EDGE('',*,*,#18706,.T.); +#9284=ORIENTED_EDGE('',*,*,#18707,.T.); +#9285=ORIENTED_EDGE('',*,*,#18708,.T.); +#9286=ORIENTED_EDGE('',*,*,#18023,.F.); +#9287=ORIENTED_EDGE('',*,*,#18709,.F.); +#9288=ORIENTED_EDGE('',*,*,#17592,.T.); +#9289=ORIENTED_EDGE('',*,*,#18705,.T.); +#9290=ORIENTED_EDGE('',*,*,#18017,.F.); +#9291=EDGE_LOOP('',(#9283,#9284,#9285,#9286,#9287,#9288,#9289,#9290)); +#9292=FACE_OUTER_BOUND('',#9291,.F.); +#9293=ADVANCED_FACE('',(#9292),#9282,.T.); +#9294=CARTESIAN_POINT('',(4.08E1,-3.55E-1,-1.105E1)); +#9295=AXIS2_PLACEMENT_3D('',#9294,#80,#2100); +#9296=PLANE('',#9295); +#9297=ORIENTED_EDGE('',*,*,#18016,.F.); +#9298=ORIENTED_EDGE('',*,*,#18710,.T.); +#9299=ORIENTED_EDGE('',*,*,#18711,.F.); +#9300=ORIENTED_EDGE('',*,*,#18706,.F.); +#9301=EDGE_LOOP('',(#9297,#9298,#9299,#9300)); +#9302=FACE_OUTER_BOUND('',#9301,.F.); +#9303=ADVANCED_FACE('',(#9302),#9296,.F.); +#9304=AXIS2_PLACEMENT_3D('',#93,#76,#72); +#9305=PLANE('',#9304); +#9306=ORIENTED_EDGE('',*,*,#18710,.F.); +#9307=ORIENTED_EDGE('',*,*,#18015,.F.); +#9308=ORIENTED_EDGE('',*,*,#18712,.F.); +#9309=ORIENTED_EDGE('',*,*,#17594,.T.); +#9310=ORIENTED_EDGE('',*,*,#18713,.T.); +#9311=ORIENTED_EDGE('',*,*,#18021,.F.); +#9312=ORIENTED_EDGE('',*,*,#18714,.F.); +#9313=ORIENTED_EDGE('',*,*,#18715,.F.); +#9314=EDGE_LOOP('',(#9306,#9307,#9308,#9309,#9310,#9311,#9312,#9313)); +#9315=FACE_OUTER_BOUND('',#9314,.F.); +#9316=ADVANCED_FACE('',(#9315),#9305,.T.); +#9317=AXIS2_PLACEMENT_3D('',#96,#72,#84); +#9318=PLANE('',#9317); +#9319=ORIENTED_EDGE('',*,*,#17595,.T.); +#9320=ORIENTED_EDGE('',*,*,#18712,.T.); +#9321=ORIENTED_EDGE('',*,*,#18014,.F.); +#9322=ORIENTED_EDGE('',*,*,#18006,.F.); +#9323=EDGE_LOOP('',(#9319,#9320,#9321,#9322)); +#9324=FACE_OUTER_BOUND('',#9323,.F.); +#9325=ADVANCED_FACE('',(#9324),#9318,.T.); +#9326=AXIS2_PLACEMENT_3D('',#91,#72,#84); +#9327=PLANE('',#9326); +#9328=ORIENTED_EDGE('',*,*,#17593,.T.); +#9329=ORIENTED_EDGE('',*,*,#18709,.T.); +#9330=ORIENTED_EDGE('',*,*,#18022,.F.); +#9331=ORIENTED_EDGE('',*,*,#18713,.F.); +#9332=EDGE_LOOP('',(#9328,#9329,#9330,#9331)); +#9333=FACE_OUTER_BOUND('',#9332,.F.); +#9334=ADVANCED_FACE('',(#9333),#9327,.T.); +#9335=CARTESIAN_POINT('',(4.08E1,-4.095E0,-1.055E1)); +#9336=AXIS2_PLACEMENT_3D('',#9335,#928,#921); +#9337=PLANE('',#9336); +#9338=ORIENTED_EDGE('',*,*,#18716,.T.); +#9339=ORIENTED_EDGE('',*,*,#18717,.T.); +#9340=ORIENTED_EDGE('',*,*,#18718,.F.); +#9341=ORIENTED_EDGE('',*,*,#18719,.T.); +#9342=ORIENTED_EDGE('',*,*,#18720,.T.); +#9343=ORIENTED_EDGE('',*,*,#18721,.T.); +#9344=ORIENTED_EDGE('',*,*,#18722,.F.); +#9345=ORIENTED_EDGE('',*,*,#18723,.T.); +#9346=ORIENTED_EDGE('',*,*,#18724,.T.); +#9347=ORIENTED_EDGE('',*,*,#18725,.T.); +#9348=ORIENTED_EDGE('',*,*,#18726,.F.); +#9349=ORIENTED_EDGE('',*,*,#18727,.T.); +#9350=ORIENTED_EDGE('',*,*,#18728,.T.); +#9351=ORIENTED_EDGE('',*,*,#18729,.T.); +#9352=ORIENTED_EDGE('',*,*,#18730,.F.); +#9353=ORIENTED_EDGE('',*,*,#18731,.T.); +#9354=ORIENTED_EDGE('',*,*,#18732,.T.); +#9355=ORIENTED_EDGE('',*,*,#18733,.T.); +#9356=ORIENTED_EDGE('',*,*,#18734,.F.); +#9357=ORIENTED_EDGE('',*,*,#18735,.T.); +#9358=ORIENTED_EDGE('',*,*,#18736,.T.); +#9359=ORIENTED_EDGE('',*,*,#18737,.T.); +#9360=ORIENTED_EDGE('',*,*,#18738,.F.); +#9361=ORIENTED_EDGE('',*,*,#18739,.T.); +#9362=ORIENTED_EDGE('',*,*,#18740,.T.); +#9363=ORIENTED_EDGE('',*,*,#18741,.T.); +#9364=ORIENTED_EDGE('',*,*,#18742,.F.); +#9365=ORIENTED_EDGE('',*,*,#18743,.T.); +#9366=ORIENTED_EDGE('',*,*,#18744,.T.); +#9367=ORIENTED_EDGE('',*,*,#18745,.T.); +#9368=ORIENTED_EDGE('',*,*,#18746,.F.); +#9369=ORIENTED_EDGE('',*,*,#18747,.T.); +#9370=ORIENTED_EDGE('',*,*,#18748,.T.); +#9371=ORIENTED_EDGE('',*,*,#18749,.T.); +#9372=ORIENTED_EDGE('',*,*,#18750,.F.); +#9373=ORIENTED_EDGE('',*,*,#18751,.T.); +#9374=ORIENTED_EDGE('',*,*,#18752,.T.); +#9375=ORIENTED_EDGE('',*,*,#18753,.T.); +#9376=ORIENTED_EDGE('',*,*,#18754,.F.); +#9377=ORIENTED_EDGE('',*,*,#18755,.T.); +#9378=ORIENTED_EDGE('',*,*,#18756,.T.); +#9379=ORIENTED_EDGE('',*,*,#18757,.T.); +#9380=ORIENTED_EDGE('',*,*,#18758,.F.); +#9381=ORIENTED_EDGE('',*,*,#18759,.T.); +#9382=ORIENTED_EDGE('',*,*,#18760,.T.); +#9383=ORIENTED_EDGE('',*,*,#18761,.T.); +#9384=ORIENTED_EDGE('',*,*,#18762,.F.); +#9385=ORIENTED_EDGE('',*,*,#18763,.T.); +#9386=ORIENTED_EDGE('',*,*,#18764,.T.); +#9387=ORIENTED_EDGE('',*,*,#18765,.T.); +#9388=ORIENTED_EDGE('',*,*,#18766,.F.); +#9389=ORIENTED_EDGE('',*,*,#18767,.T.); +#9390=ORIENTED_EDGE('',*,*,#18768,.T.); +#9391=ORIENTED_EDGE('',*,*,#18769,.T.); +#9392=ORIENTED_EDGE('',*,*,#18770,.F.); +#9393=ORIENTED_EDGE('',*,*,#18771,.T.); +#9394=ORIENTED_EDGE('',*,*,#18772,.T.); +#9395=ORIENTED_EDGE('',*,*,#18773,.T.); +#9396=ORIENTED_EDGE('',*,*,#18774,.F.); +#9397=ORIENTED_EDGE('',*,*,#18775,.T.); +#9398=ORIENTED_EDGE('',*,*,#18776,.T.); +#9399=ORIENTED_EDGE('',*,*,#18777,.T.); +#9400=ORIENTED_EDGE('',*,*,#18778,.F.); +#9401=ORIENTED_EDGE('',*,*,#18779,.T.); +#9402=ORIENTED_EDGE('',*,*,#18780,.T.); +#9403=ORIENTED_EDGE('',*,*,#18781,.T.); +#9404=ORIENTED_EDGE('',*,*,#18782,.F.); +#9405=ORIENTED_EDGE('',*,*,#18783,.T.); +#9406=ORIENTED_EDGE('',*,*,#18784,.T.); +#9407=ORIENTED_EDGE('',*,*,#18785,.T.); +#9408=ORIENTED_EDGE('',*,*,#18786,.F.); +#9409=ORIENTED_EDGE('',*,*,#18787,.T.); +#9410=ORIENTED_EDGE('',*,*,#18788,.T.); +#9411=ORIENTED_EDGE('',*,*,#18789,.T.); +#9412=ORIENTED_EDGE('',*,*,#18790,.F.); +#9413=ORIENTED_EDGE('',*,*,#18791,.T.); +#9414=ORIENTED_EDGE('',*,*,#18792,.T.); +#9415=ORIENTED_EDGE('',*,*,#18793,.T.); +#9416=ORIENTED_EDGE('',*,*,#18794,.F.); +#9417=ORIENTED_EDGE('',*,*,#18795,.T.); +#9418=ORIENTED_EDGE('',*,*,#18714,.T.); +#9419=ORIENTED_EDGE('',*,*,#18024,.T.); +#9420=ORIENTED_EDGE('',*,*,#18708,.F.); +#9421=ORIENTED_EDGE('',*,*,#18796,.T.); +#9422=EDGE_LOOP('',(#9338,#9339,#9340,#9341,#9342,#9343,#9344,#9345,#9346,#9347,#9348,#9349,#9350,#9351,#9352,#9353,#9354,#9355,#9356,#9357,#9358,#9359,#9360,#9361,#9362,#9363,#9364,#9365,#9366,#9367,#9368,#9369,#9370,#9371,#9372,#9373,#9374,#9375,#9376,#9377,#9378,#9379,#9380,#9381,#9382,#9383,#9384,#9385,#9386,#9387,#9388,#9389,#9390,#9391,#9392,#9393,#9394,#9395,#9396,#9397,#9398,#9399,#9400,#9401,#9402,#9403,#9404,#9405,#9406,#9407,#9408,#9409,#9410,#9411,#9412,#9413,#9414,#9415,#9416,#9417,#9418,#9419,#9420,#9421)); +#9423=FACE_OUTER_BOUND('',#9422,.F.); +#9424=ADVANCED_FACE('',(#9423),#9337,.F.); +#9425=AXIS2_PLACEMENT_3D('',#2261,#84,#72); +#9426=PLANE('',#9425); +#9427=ORIENTED_EDGE('',*,*,#18797,.T.); +#9428=ORIENTED_EDGE('',*,*,#18798,.T.); +#9429=ORIENTED_EDGE('',*,*,#18799,.T.); +#9430=ORIENTED_EDGE('',*,*,#18800,.F.); +#9431=ORIENTED_EDGE('',*,*,#18716,.F.); +#9432=EDGE_LOOP('',(#9427,#9428,#9429,#9430,#9431)); +#9433=FACE_OUTER_BOUND('',#9432,.F.); +#9434=ADVANCED_FACE('',(#9433),#9426,.T.); +#9435=CARTESIAN_POINT('',(4.08E1,-3.55E-1,-1.055E1)); +#9436=AXIS2_PLACEMENT_3D('',#9435,#2100,#72); +#9437=PLANE('',#9436); +#9438=ORIENTED_EDGE('',*,*,#18797,.F.); +#9439=ORIENTED_EDGE('',*,*,#18796,.F.); +#9440=ORIENTED_EDGE('',*,*,#18707,.F.); +#9441=ORIENTED_EDGE('',*,*,#18711,.T.); +#9442=ORIENTED_EDGE('',*,*,#18715,.T.); +#9443=ORIENTED_EDGE('',*,*,#18795,.F.); +#9444=ORIENTED_EDGE('',*,*,#18801,.T.); +#9445=ORIENTED_EDGE('',*,*,#18802,.T.); +#9446=ORIENTED_EDGE('',*,*,#18803,.F.); +#9447=ORIENTED_EDGE('',*,*,#18791,.F.); +#9448=ORIENTED_EDGE('',*,*,#18804,.T.); +#9449=ORIENTED_EDGE('',*,*,#18805,.T.); +#9450=ORIENTED_EDGE('',*,*,#18806,.F.); +#9451=ORIENTED_EDGE('',*,*,#18787,.F.); +#9452=ORIENTED_EDGE('',*,*,#18807,.T.); +#9453=ORIENTED_EDGE('',*,*,#18808,.T.); +#9454=ORIENTED_EDGE('',*,*,#18809,.F.); +#9455=ORIENTED_EDGE('',*,*,#18783,.F.); +#9456=ORIENTED_EDGE('',*,*,#18810,.T.); +#9457=ORIENTED_EDGE('',*,*,#18811,.T.); +#9458=ORIENTED_EDGE('',*,*,#18812,.F.); +#9459=ORIENTED_EDGE('',*,*,#18779,.F.); +#9460=ORIENTED_EDGE('',*,*,#18813,.T.); +#9461=ORIENTED_EDGE('',*,*,#18814,.T.); +#9462=ORIENTED_EDGE('',*,*,#18815,.F.); +#9463=ORIENTED_EDGE('',*,*,#18775,.F.); +#9464=ORIENTED_EDGE('',*,*,#18816,.T.); +#9465=ORIENTED_EDGE('',*,*,#18817,.T.); +#9466=ORIENTED_EDGE('',*,*,#18818,.F.); +#9467=ORIENTED_EDGE('',*,*,#18771,.F.); +#9468=ORIENTED_EDGE('',*,*,#18819,.T.); +#9469=ORIENTED_EDGE('',*,*,#18820,.T.); +#9470=ORIENTED_EDGE('',*,*,#18821,.F.); +#9471=ORIENTED_EDGE('',*,*,#18767,.F.); +#9472=ORIENTED_EDGE('',*,*,#18822,.T.); +#9473=ORIENTED_EDGE('',*,*,#18823,.T.); +#9474=ORIENTED_EDGE('',*,*,#18824,.F.); +#9475=ORIENTED_EDGE('',*,*,#18763,.F.); +#9476=ORIENTED_EDGE('',*,*,#18825,.T.); +#9477=ORIENTED_EDGE('',*,*,#18826,.T.); +#9478=ORIENTED_EDGE('',*,*,#18827,.F.); +#9479=ORIENTED_EDGE('',*,*,#18759,.F.); +#9480=ORIENTED_EDGE('',*,*,#18828,.T.); +#9481=ORIENTED_EDGE('',*,*,#18829,.T.); +#9482=ORIENTED_EDGE('',*,*,#18830,.F.); +#9483=ORIENTED_EDGE('',*,*,#18755,.F.); +#9484=ORIENTED_EDGE('',*,*,#18831,.T.); +#9485=ORIENTED_EDGE('',*,*,#18832,.T.); +#9486=ORIENTED_EDGE('',*,*,#18833,.F.); +#9487=ORIENTED_EDGE('',*,*,#18751,.F.); +#9488=ORIENTED_EDGE('',*,*,#18834,.T.); +#9489=ORIENTED_EDGE('',*,*,#18835,.T.); +#9490=ORIENTED_EDGE('',*,*,#18836,.F.); +#9491=ORIENTED_EDGE('',*,*,#18747,.F.); +#9492=ORIENTED_EDGE('',*,*,#18837,.T.); +#9493=ORIENTED_EDGE('',*,*,#18838,.T.); +#9494=ORIENTED_EDGE('',*,*,#18839,.F.); +#9495=ORIENTED_EDGE('',*,*,#18743,.F.); +#9496=ORIENTED_EDGE('',*,*,#18840,.T.); +#9497=ORIENTED_EDGE('',*,*,#18841,.T.); +#9498=ORIENTED_EDGE('',*,*,#18842,.F.); +#9499=ORIENTED_EDGE('',*,*,#18739,.F.); +#9500=ORIENTED_EDGE('',*,*,#18843,.T.); +#9501=ORIENTED_EDGE('',*,*,#18844,.T.); +#9502=ORIENTED_EDGE('',*,*,#18845,.F.); +#9503=ORIENTED_EDGE('',*,*,#18735,.F.); +#9504=ORIENTED_EDGE('',*,*,#18846,.T.); +#9505=ORIENTED_EDGE('',*,*,#18847,.T.); +#9506=ORIENTED_EDGE('',*,*,#18848,.F.); +#9507=ORIENTED_EDGE('',*,*,#18731,.F.); +#9508=ORIENTED_EDGE('',*,*,#18849,.T.); +#9509=ORIENTED_EDGE('',*,*,#18850,.T.); +#9510=ORIENTED_EDGE('',*,*,#18851,.F.); +#9511=ORIENTED_EDGE('',*,*,#18727,.F.); +#9512=ORIENTED_EDGE('',*,*,#18852,.T.); +#9513=ORIENTED_EDGE('',*,*,#18853,.T.); +#9514=ORIENTED_EDGE('',*,*,#18854,.F.); +#9515=ORIENTED_EDGE('',*,*,#18723,.F.); +#9516=ORIENTED_EDGE('',*,*,#18855,.T.); +#9517=ORIENTED_EDGE('',*,*,#18856,.T.); +#9518=ORIENTED_EDGE('',*,*,#18857,.F.); +#9519=ORIENTED_EDGE('',*,*,#18719,.F.); +#9520=ORIENTED_EDGE('',*,*,#18858,.T.); +#9521=ORIENTED_EDGE('',*,*,#18859,.T.); +#9522=EDGE_LOOP('',(#9438,#9439,#9440,#9441,#9442,#9443,#9444,#9445,#9446,#9447,#9448,#9449,#9450,#9451,#9452,#9453,#9454,#9455,#9456,#9457,#9458,#9459,#9460,#9461,#9462,#9463,#9464,#9465,#9466,#9467,#9468,#9469,#9470,#9471,#9472,#9473,#9474,#9475,#9476,#9477,#9478,#9479,#9480,#9481,#9482,#9483,#9484,#9485,#9486,#9487,#9488,#9489,#9490,#9491,#9492,#9493,#9494,#9495,#9496,#9497,#9498,#9499,#9500,#9501,#9502,#9503,#9504,#9505,#9506,#9507,#9508,#9509,#9510,#9511,#9512,#9513,#9514,#9515,#9516,#9517,#9518,#9519,#9520,#9521)); +#9523=FACE_OUTER_BOUND('',#9522,.F.); +#9524=ORIENTED_EDGE('',*,*,#18860,.T.); +#9525=ORIENTED_EDGE('',*,*,#18861,.T.); +#9526=ORIENTED_EDGE('',*,*,#18862,.T.); +#9527=ORIENTED_EDGE('',*,*,#18863,.T.); +#9528=EDGE_LOOP('',(#9524,#9525,#9526,#9527)); +#9529=FACE_BOUND('',#9528,.F.); +#9530=ORIENTED_EDGE('',*,*,#18864,.T.); +#9531=ORIENTED_EDGE('',*,*,#18865,.T.); +#9532=ORIENTED_EDGE('',*,*,#18866,.T.); +#9533=ORIENTED_EDGE('',*,*,#18867,.T.); +#9534=EDGE_LOOP('',(#9530,#9531,#9532,#9533)); +#9535=FACE_BOUND('',#9534,.F.); +#9536=ORIENTED_EDGE('',*,*,#18868,.T.); +#9537=ORIENTED_EDGE('',*,*,#18869,.T.); +#9538=ORIENTED_EDGE('',*,*,#18870,.T.); +#9539=ORIENTED_EDGE('',*,*,#18871,.T.); +#9540=EDGE_LOOP('',(#9536,#9537,#9538,#9539)); +#9541=FACE_BOUND('',#9540,.F.); +#9542=ORIENTED_EDGE('',*,*,#18872,.T.); +#9543=ORIENTED_EDGE('',*,*,#18873,.T.); +#9544=ORIENTED_EDGE('',*,*,#18874,.T.); +#9545=ORIENTED_EDGE('',*,*,#18875,.T.); +#9546=EDGE_LOOP('',(#9542,#9543,#9544,#9545)); +#9547=FACE_BOUND('',#9546,.F.); +#9548=ORIENTED_EDGE('',*,*,#18876,.T.); +#9549=ORIENTED_EDGE('',*,*,#18877,.T.); +#9550=ORIENTED_EDGE('',*,*,#18878,.T.); +#9551=ORIENTED_EDGE('',*,*,#18879,.T.); +#9552=EDGE_LOOP('',(#9548,#9549,#9550,#9551)); +#9553=FACE_BOUND('',#9552,.F.); +#9554=ORIENTED_EDGE('',*,*,#18880,.T.); +#9555=ORIENTED_EDGE('',*,*,#18881,.T.); +#9556=ORIENTED_EDGE('',*,*,#18882,.T.); +#9557=ORIENTED_EDGE('',*,*,#18883,.T.); +#9558=EDGE_LOOP('',(#9554,#9555,#9556,#9557)); +#9559=FACE_BOUND('',#9558,.F.); +#9560=ORIENTED_EDGE('',*,*,#18884,.T.); +#9561=ORIENTED_EDGE('',*,*,#18885,.T.); +#9562=ORIENTED_EDGE('',*,*,#18886,.T.); +#9563=ORIENTED_EDGE('',*,*,#18887,.T.); +#9564=EDGE_LOOP('',(#9560,#9561,#9562,#9563)); +#9565=FACE_BOUND('',#9564,.F.); +#9566=ORIENTED_EDGE('',*,*,#18888,.T.); +#9567=ORIENTED_EDGE('',*,*,#18889,.T.); +#9568=ORIENTED_EDGE('',*,*,#18890,.T.); +#9569=ORIENTED_EDGE('',*,*,#18891,.T.); +#9570=EDGE_LOOP('',(#9566,#9567,#9568,#9569)); +#9571=FACE_BOUND('',#9570,.F.); +#9572=ORIENTED_EDGE('',*,*,#18892,.T.); +#9573=ORIENTED_EDGE('',*,*,#18893,.T.); +#9574=ORIENTED_EDGE('',*,*,#18894,.T.); +#9575=ORIENTED_EDGE('',*,*,#18895,.T.); +#9576=EDGE_LOOP('',(#9572,#9573,#9574,#9575)); +#9577=FACE_BOUND('',#9576,.F.); +#9578=ORIENTED_EDGE('',*,*,#18896,.T.); +#9579=ORIENTED_EDGE('',*,*,#18897,.T.); +#9580=ORIENTED_EDGE('',*,*,#18898,.T.); +#9581=ORIENTED_EDGE('',*,*,#18899,.T.); +#9582=EDGE_LOOP('',(#9578,#9579,#9580,#9581)); +#9583=FACE_BOUND('',#9582,.F.); +#9584=ORIENTED_EDGE('',*,*,#18900,.T.); +#9585=ORIENTED_EDGE('',*,*,#18901,.T.); +#9586=ORIENTED_EDGE('',*,*,#18902,.T.); +#9587=ORIENTED_EDGE('',*,*,#18903,.T.); +#9588=EDGE_LOOP('',(#9584,#9585,#9586,#9587)); +#9589=FACE_BOUND('',#9588,.F.); +#9590=ORIENTED_EDGE('',*,*,#18904,.T.); +#9591=ORIENTED_EDGE('',*,*,#18905,.T.); +#9592=ORIENTED_EDGE('',*,*,#18906,.T.); +#9593=ORIENTED_EDGE('',*,*,#18907,.T.); +#9594=EDGE_LOOP('',(#9590,#9591,#9592,#9593)); +#9595=FACE_BOUND('',#9594,.F.); +#9596=ORIENTED_EDGE('',*,*,#18908,.T.); +#9597=ORIENTED_EDGE('',*,*,#18909,.T.); +#9598=ORIENTED_EDGE('',*,*,#18910,.T.); +#9599=ORIENTED_EDGE('',*,*,#18911,.T.); +#9600=EDGE_LOOP('',(#9596,#9597,#9598,#9599)); +#9601=FACE_BOUND('',#9600,.F.); +#9602=ORIENTED_EDGE('',*,*,#18912,.T.); +#9603=ORIENTED_EDGE('',*,*,#18913,.T.); +#9604=ORIENTED_EDGE('',*,*,#18914,.T.); +#9605=ORIENTED_EDGE('',*,*,#18915,.T.); +#9606=EDGE_LOOP('',(#9602,#9603,#9604,#9605)); +#9607=FACE_BOUND('',#9606,.F.); +#9608=ORIENTED_EDGE('',*,*,#18916,.T.); +#9609=ORIENTED_EDGE('',*,*,#18917,.T.); +#9610=ORIENTED_EDGE('',*,*,#18918,.T.); +#9611=ORIENTED_EDGE('',*,*,#18919,.T.); +#9612=EDGE_LOOP('',(#9608,#9609,#9610,#9611)); +#9613=FACE_BOUND('',#9612,.F.); +#9614=ORIENTED_EDGE('',*,*,#18920,.T.); +#9615=ORIENTED_EDGE('',*,*,#18921,.T.); +#9616=ORIENTED_EDGE('',*,*,#18922,.T.); +#9617=ORIENTED_EDGE('',*,*,#18923,.T.); +#9618=EDGE_LOOP('',(#9614,#9615,#9616,#9617)); +#9619=FACE_BOUND('',#9618,.F.); +#9620=ORIENTED_EDGE('',*,*,#18924,.T.); +#9621=ORIENTED_EDGE('',*,*,#18925,.T.); +#9622=ORIENTED_EDGE('',*,*,#18926,.T.); +#9623=ORIENTED_EDGE('',*,*,#18927,.T.); +#9624=EDGE_LOOP('',(#9620,#9621,#9622,#9623)); +#9625=FACE_BOUND('',#9624,.F.); +#9626=ORIENTED_EDGE('',*,*,#18928,.T.); +#9627=ORIENTED_EDGE('',*,*,#18929,.T.); +#9628=ORIENTED_EDGE('',*,*,#18930,.T.); +#9629=ORIENTED_EDGE('',*,*,#18931,.T.); +#9630=EDGE_LOOP('',(#9626,#9627,#9628,#9629)); +#9631=FACE_BOUND('',#9630,.F.); +#9632=ORIENTED_EDGE('',*,*,#18932,.T.); +#9633=ORIENTED_EDGE('',*,*,#18933,.T.); +#9634=ORIENTED_EDGE('',*,*,#18934,.T.); +#9635=ORIENTED_EDGE('',*,*,#18935,.T.); +#9636=EDGE_LOOP('',(#9632,#9633,#9634,#9635)); +#9637=FACE_BOUND('',#9636,.F.); +#9638=ADVANCED_FACE('',(#9523,#9529,#9535,#9541,#9547,#9553,#9559,#9565,#9571,#9577,#9583,#9589,#9595,#9601,#9607,#9613,#9619,#9625,#9631,#9637),#9437,.F.); +#9639=AXIS2_PLACEMENT_3D('',#2263,#84,#72); +#9640=PLANE('',#9639); +#9641=ORIENTED_EDGE('',*,*,#18860,.F.); +#9642=ORIENTED_EDGE('',*,*,#18936,.T.); +#9643=ORIENTED_EDGE('',*,*,#18937,.T.); +#9644=ORIENTED_EDGE('',*,*,#18938,.F.); +#9645=EDGE_LOOP('',(#9641,#9642,#9643,#9644)); +#9646=FACE_OUTER_BOUND('',#9645,.F.); +#9647=ADVANCED_FACE('',(#9646),#9640,.T.); +#9648=AXIS2_PLACEMENT_3D('',#2269,#80,#84); +#9649=PLANE('',#9648); +#9650=ORIENTED_EDGE('',*,*,#18863,.F.); +#9651=ORIENTED_EDGE('',*,*,#18939,.T.); +#9652=ORIENTED_EDGE('',*,*,#18940,.T.); +#9653=ORIENTED_EDGE('',*,*,#18936,.F.); +#9654=EDGE_LOOP('',(#9650,#9651,#9652,#9653)); +#9655=FACE_OUTER_BOUND('',#9654,.F.); +#9656=ADVANCED_FACE('',(#9655),#9649,.T.); +#9657=AXIS2_PLACEMENT_3D('',#2267,#76,#80); +#9658=PLANE('',#9657); +#9659=ORIENTED_EDGE('',*,*,#18862,.F.); +#9660=ORIENTED_EDGE('',*,*,#18941,.T.); +#9661=ORIENTED_EDGE('',*,*,#18942,.T.); +#9662=ORIENTED_EDGE('',*,*,#18939,.F.); +#9663=EDGE_LOOP('',(#9659,#9660,#9661,#9662)); +#9664=FACE_OUTER_BOUND('',#9663,.F.); +#9665=ADVANCED_FACE('',(#9664),#9658,.T.); +#9666=AXIS2_PLACEMENT_3D('',#2265,#72,#76); +#9667=PLANE('',#9666); +#9668=ORIENTED_EDGE('',*,*,#18861,.F.); +#9669=ORIENTED_EDGE('',*,*,#18938,.T.); +#9670=ORIENTED_EDGE('',*,*,#18943,.T.); +#9671=ORIENTED_EDGE('',*,*,#18941,.F.); +#9672=EDGE_LOOP('',(#9668,#9669,#9670,#9671)); +#9673=FACE_OUTER_BOUND('',#9672,.F.); +#9674=ADVANCED_FACE('',(#9673),#9667,.T.); +#9675=ORIENTED_EDGE('',*,*,#18937,.F.); +#9676=ORIENTED_EDGE('',*,*,#18940,.F.); +#9677=ORIENTED_EDGE('',*,*,#18942,.F.); +#9678=ORIENTED_EDGE('',*,*,#18943,.F.); +#9679=EDGE_LOOP('',(#9675,#9676,#9677,#9678)); +#9680=FACE_OUTER_BOUND('',#9679,.F.); +#9681=ADVANCED_FACE('',(#9680),#7485,.T.); +#9682=AXIS2_PLACEMENT_3D('',#2271,#84,#72); +#9683=PLANE('',#9682); +#9684=ORIENTED_EDGE('',*,*,#18864,.F.); +#9685=ORIENTED_EDGE('',*,*,#18944,.T.); +#9686=ORIENTED_EDGE('',*,*,#18945,.T.); +#9687=ORIENTED_EDGE('',*,*,#18946,.F.); +#9688=EDGE_LOOP('',(#9684,#9685,#9686,#9687)); +#9689=FACE_OUTER_BOUND('',#9688,.F.); +#9690=ADVANCED_FACE('',(#9689),#9683,.T.); +#9691=AXIS2_PLACEMENT_3D('',#2277,#80,#84); +#9692=PLANE('',#9691); +#9693=ORIENTED_EDGE('',*,*,#18867,.F.); +#9694=ORIENTED_EDGE('',*,*,#18947,.T.); +#9695=ORIENTED_EDGE('',*,*,#18948,.T.); +#9696=ORIENTED_EDGE('',*,*,#18944,.F.); +#9697=EDGE_LOOP('',(#9693,#9694,#9695,#9696)); +#9698=FACE_OUTER_BOUND('',#9697,.F.); +#9699=ADVANCED_FACE('',(#9698),#9692,.T.); +#9700=AXIS2_PLACEMENT_3D('',#2275,#76,#80); +#9701=PLANE('',#9700); +#9702=ORIENTED_EDGE('',*,*,#18866,.F.); +#9703=ORIENTED_EDGE('',*,*,#18949,.T.); +#9704=ORIENTED_EDGE('',*,*,#18950,.T.); +#9705=ORIENTED_EDGE('',*,*,#18947,.F.); +#9706=EDGE_LOOP('',(#9702,#9703,#9704,#9705)); +#9707=FACE_OUTER_BOUND('',#9706,.F.); +#9708=ADVANCED_FACE('',(#9707),#9701,.T.); +#9709=AXIS2_PLACEMENT_3D('',#2273,#72,#76); +#9710=PLANE('',#9709); +#9711=ORIENTED_EDGE('',*,*,#18865,.F.); +#9712=ORIENTED_EDGE('',*,*,#18946,.T.); +#9713=ORIENTED_EDGE('',*,*,#18951,.T.); +#9714=ORIENTED_EDGE('',*,*,#18949,.F.); +#9715=EDGE_LOOP('',(#9711,#9712,#9713,#9714)); +#9716=FACE_OUTER_BOUND('',#9715,.F.); +#9717=ADVANCED_FACE('',(#9716),#9710,.T.); +#9718=ORIENTED_EDGE('',*,*,#18945,.F.); +#9719=ORIENTED_EDGE('',*,*,#18948,.F.); +#9720=ORIENTED_EDGE('',*,*,#18950,.F.); +#9721=ORIENTED_EDGE('',*,*,#18951,.F.); +#9722=EDGE_LOOP('',(#9718,#9719,#9720,#9721)); +#9723=FACE_OUTER_BOUND('',#9722,.F.); +#9724=ADVANCED_FACE('',(#9723),#7485,.T.); +#9725=AXIS2_PLACEMENT_3D('',#2279,#84,#72); +#9726=PLANE('',#9725); +#9727=ORIENTED_EDGE('',*,*,#18868,.F.); +#9728=ORIENTED_EDGE('',*,*,#18952,.T.); +#9729=ORIENTED_EDGE('',*,*,#18953,.T.); +#9730=ORIENTED_EDGE('',*,*,#18954,.F.); +#9731=EDGE_LOOP('',(#9727,#9728,#9729,#9730)); +#9732=FACE_OUTER_BOUND('',#9731,.F.); +#9733=ADVANCED_FACE('',(#9732),#9726,.T.); +#9734=AXIS2_PLACEMENT_3D('',#2285,#80,#84); +#9735=PLANE('',#9734); +#9736=ORIENTED_EDGE('',*,*,#18871,.F.); +#9737=ORIENTED_EDGE('',*,*,#18955,.T.); +#9738=ORIENTED_EDGE('',*,*,#18956,.T.); +#9739=ORIENTED_EDGE('',*,*,#18952,.F.); +#9740=EDGE_LOOP('',(#9736,#9737,#9738,#9739)); +#9741=FACE_OUTER_BOUND('',#9740,.F.); +#9742=ADVANCED_FACE('',(#9741),#9735,.T.); +#9743=AXIS2_PLACEMENT_3D('',#2283,#76,#80); +#9744=PLANE('',#9743); +#9745=ORIENTED_EDGE('',*,*,#18870,.F.); +#9746=ORIENTED_EDGE('',*,*,#18957,.T.); +#9747=ORIENTED_EDGE('',*,*,#18958,.T.); +#9748=ORIENTED_EDGE('',*,*,#18955,.F.); +#9749=EDGE_LOOP('',(#9745,#9746,#9747,#9748)); +#9750=FACE_OUTER_BOUND('',#9749,.F.); +#9751=ADVANCED_FACE('',(#9750),#9744,.T.); +#9752=AXIS2_PLACEMENT_3D('',#2281,#72,#76); +#9753=PLANE('',#9752); +#9754=ORIENTED_EDGE('',*,*,#18869,.F.); +#9755=ORIENTED_EDGE('',*,*,#18954,.T.); +#9756=ORIENTED_EDGE('',*,*,#18959,.T.); +#9757=ORIENTED_EDGE('',*,*,#18957,.F.); +#9758=EDGE_LOOP('',(#9754,#9755,#9756,#9757)); +#9759=FACE_OUTER_BOUND('',#9758,.F.); +#9760=ADVANCED_FACE('',(#9759),#9753,.T.); +#9761=ORIENTED_EDGE('',*,*,#18953,.F.); +#9762=ORIENTED_EDGE('',*,*,#18956,.F.); +#9763=ORIENTED_EDGE('',*,*,#18958,.F.); +#9764=ORIENTED_EDGE('',*,*,#18959,.F.); +#9765=EDGE_LOOP('',(#9761,#9762,#9763,#9764)); +#9766=FACE_OUTER_BOUND('',#9765,.F.); +#9767=ADVANCED_FACE('',(#9766),#7485,.T.); +#9768=AXIS2_PLACEMENT_3D('',#2287,#84,#72); +#9769=PLANE('',#9768); +#9770=ORIENTED_EDGE('',*,*,#18872,.F.); +#9771=ORIENTED_EDGE('',*,*,#18960,.T.); +#9772=ORIENTED_EDGE('',*,*,#18961,.T.); +#9773=ORIENTED_EDGE('',*,*,#18962,.F.); +#9774=EDGE_LOOP('',(#9770,#9771,#9772,#9773)); +#9775=FACE_OUTER_BOUND('',#9774,.F.); +#9776=ADVANCED_FACE('',(#9775),#9769,.T.); +#9777=AXIS2_PLACEMENT_3D('',#2295,#80,#84); +#9778=PLANE('',#9777); +#9779=ORIENTED_EDGE('',*,*,#18875,.F.); +#9780=ORIENTED_EDGE('',*,*,#18963,.T.); +#9781=ORIENTED_EDGE('',*,*,#18964,.T.); +#9782=ORIENTED_EDGE('',*,*,#18960,.F.); +#9783=EDGE_LOOP('',(#9779,#9780,#9781,#9782)); +#9784=FACE_OUTER_BOUND('',#9783,.F.); +#9785=ADVANCED_FACE('',(#9784),#9778,.T.); +#9786=DIRECTION('',(-1.E0,1.110223024625E-14,0.E0)); +#9787=AXIS2_PLACEMENT_3D('',#2293,#9786,#2291); +#9788=PLANE('',#9787); +#9789=ORIENTED_EDGE('',*,*,#18874,.F.); +#9790=ORIENTED_EDGE('',*,*,#18965,.T.); +#9791=ORIENTED_EDGE('',*,*,#18966,.T.); +#9792=ORIENTED_EDGE('',*,*,#18963,.F.); +#9793=EDGE_LOOP('',(#9789,#9790,#9791,#9792)); +#9794=FACE_OUTER_BOUND('',#9793,.F.); +#9795=ADVANCED_FACE('',(#9794),#9788,.T.); +#9796=AXIS2_PLACEMENT_3D('',#2289,#72,#76); +#9797=PLANE('',#9796); +#9798=ORIENTED_EDGE('',*,*,#18873,.F.); +#9799=ORIENTED_EDGE('',*,*,#18962,.T.); +#9800=ORIENTED_EDGE('',*,*,#18967,.T.); +#9801=ORIENTED_EDGE('',*,*,#18965,.F.); +#9802=EDGE_LOOP('',(#9798,#9799,#9800,#9801)); +#9803=FACE_OUTER_BOUND('',#9802,.F.); +#9804=ADVANCED_FACE('',(#9803),#9797,.T.); +#9805=ORIENTED_EDGE('',*,*,#18961,.F.); +#9806=ORIENTED_EDGE('',*,*,#18964,.F.); +#9807=ORIENTED_EDGE('',*,*,#18966,.F.); +#9808=ORIENTED_EDGE('',*,*,#18967,.F.); +#9809=EDGE_LOOP('',(#9805,#9806,#9807,#9808)); +#9810=FACE_OUTER_BOUND('',#9809,.F.); +#9811=ADVANCED_FACE('',(#9810),#7485,.T.); +#9812=AXIS2_PLACEMENT_3D('',#2297,#84,#72); +#9813=PLANE('',#9812); +#9814=ORIENTED_EDGE('',*,*,#18876,.F.); +#9815=ORIENTED_EDGE('',*,*,#18968,.T.); +#9816=ORIENTED_EDGE('',*,*,#18969,.T.); +#9817=ORIENTED_EDGE('',*,*,#18970,.F.); +#9818=EDGE_LOOP('',(#9814,#9815,#9816,#9817)); +#9819=FACE_OUTER_BOUND('',#9818,.F.); +#9820=ADVANCED_FACE('',(#9819),#9813,.T.); +#9821=AXIS2_PLACEMENT_3D('',#2303,#80,#84); +#9822=PLANE('',#9821); +#9823=ORIENTED_EDGE('',*,*,#18879,.F.); +#9824=ORIENTED_EDGE('',*,*,#18971,.T.); +#9825=ORIENTED_EDGE('',*,*,#18972,.T.); +#9826=ORIENTED_EDGE('',*,*,#18968,.F.); +#9827=EDGE_LOOP('',(#9823,#9824,#9825,#9826)); +#9828=FACE_OUTER_BOUND('',#9827,.F.); +#9829=ADVANCED_FACE('',(#9828),#9822,.T.); +#9830=AXIS2_PLACEMENT_3D('',#2301,#76,#80); +#9831=PLANE('',#9830); +#9832=ORIENTED_EDGE('',*,*,#18878,.F.); +#9833=ORIENTED_EDGE('',*,*,#18973,.T.); +#9834=ORIENTED_EDGE('',*,*,#18974,.T.); +#9835=ORIENTED_EDGE('',*,*,#18971,.F.); +#9836=EDGE_LOOP('',(#9832,#9833,#9834,#9835)); +#9837=FACE_OUTER_BOUND('',#9836,.F.); +#9838=ADVANCED_FACE('',(#9837),#9831,.T.); +#9839=AXIS2_PLACEMENT_3D('',#2299,#72,#76); +#9840=PLANE('',#9839); +#9841=ORIENTED_EDGE('',*,*,#18877,.F.); +#9842=ORIENTED_EDGE('',*,*,#18970,.T.); +#9843=ORIENTED_EDGE('',*,*,#18975,.T.); +#9844=ORIENTED_EDGE('',*,*,#18973,.F.); +#9845=EDGE_LOOP('',(#9841,#9842,#9843,#9844)); +#9846=FACE_OUTER_BOUND('',#9845,.F.); +#9847=ADVANCED_FACE('',(#9846),#9840,.T.); +#9848=ORIENTED_EDGE('',*,*,#18969,.F.); +#9849=ORIENTED_EDGE('',*,*,#18972,.F.); +#9850=ORIENTED_EDGE('',*,*,#18974,.F.); +#9851=ORIENTED_EDGE('',*,*,#18975,.F.); +#9852=EDGE_LOOP('',(#9848,#9849,#9850,#9851)); +#9853=FACE_OUTER_BOUND('',#9852,.F.); +#9854=ADVANCED_FACE('',(#9853),#7485,.T.); +#9855=AXIS2_PLACEMENT_3D('',#2305,#84,#72); +#9856=PLANE('',#9855); +#9857=ORIENTED_EDGE('',*,*,#18880,.F.); +#9858=ORIENTED_EDGE('',*,*,#18976,.T.); +#9859=ORIENTED_EDGE('',*,*,#18977,.T.); +#9860=ORIENTED_EDGE('',*,*,#18978,.F.); +#9861=EDGE_LOOP('',(#9857,#9858,#9859,#9860)); +#9862=FACE_OUTER_BOUND('',#9861,.F.); +#9863=ADVANCED_FACE('',(#9862),#9856,.T.); +#9864=AXIS2_PLACEMENT_3D('',#2311,#80,#84); +#9865=PLANE('',#9864); +#9866=ORIENTED_EDGE('',*,*,#18883,.F.); +#9867=ORIENTED_EDGE('',*,*,#18979,.T.); +#9868=ORIENTED_EDGE('',*,*,#18980,.T.); +#9869=ORIENTED_EDGE('',*,*,#18976,.F.); +#9870=EDGE_LOOP('',(#9866,#9867,#9868,#9869)); +#9871=FACE_OUTER_BOUND('',#9870,.F.); +#9872=ADVANCED_FACE('',(#9871),#9865,.T.); +#9873=AXIS2_PLACEMENT_3D('',#2309,#76,#80); +#9874=PLANE('',#9873); +#9875=ORIENTED_EDGE('',*,*,#18882,.F.); +#9876=ORIENTED_EDGE('',*,*,#18981,.T.); +#9877=ORIENTED_EDGE('',*,*,#18982,.T.); +#9878=ORIENTED_EDGE('',*,*,#18979,.F.); +#9879=EDGE_LOOP('',(#9875,#9876,#9877,#9878)); +#9880=FACE_OUTER_BOUND('',#9879,.F.); +#9881=ADVANCED_FACE('',(#9880),#9874,.T.); +#9882=AXIS2_PLACEMENT_3D('',#2307,#72,#76); +#9883=PLANE('',#9882); +#9884=ORIENTED_EDGE('',*,*,#18881,.F.); +#9885=ORIENTED_EDGE('',*,*,#18978,.T.); +#9886=ORIENTED_EDGE('',*,*,#18983,.T.); +#9887=ORIENTED_EDGE('',*,*,#18981,.F.); +#9888=EDGE_LOOP('',(#9884,#9885,#9886,#9887)); +#9889=FACE_OUTER_BOUND('',#9888,.F.); +#9890=ADVANCED_FACE('',(#9889),#9883,.T.); +#9891=ORIENTED_EDGE('',*,*,#18977,.F.); +#9892=ORIENTED_EDGE('',*,*,#18980,.F.); +#9893=ORIENTED_EDGE('',*,*,#18982,.F.); +#9894=ORIENTED_EDGE('',*,*,#18983,.F.); +#9895=EDGE_LOOP('',(#9891,#9892,#9893,#9894)); +#9896=FACE_OUTER_BOUND('',#9895,.F.); +#9897=ADVANCED_FACE('',(#9896),#7485,.T.); +#9898=AXIS2_PLACEMENT_3D('',#2313,#84,#72); +#9899=PLANE('',#9898); +#9900=ORIENTED_EDGE('',*,*,#18884,.F.); +#9901=ORIENTED_EDGE('',*,*,#18984,.T.); +#9902=ORIENTED_EDGE('',*,*,#18985,.T.); +#9903=ORIENTED_EDGE('',*,*,#18986,.F.); +#9904=EDGE_LOOP('',(#9900,#9901,#9902,#9903)); +#9905=FACE_OUTER_BOUND('',#9904,.F.); +#9906=ADVANCED_FACE('',(#9905),#9899,.T.); +#9907=AXIS2_PLACEMENT_3D('',#2319,#80,#84); +#9908=PLANE('',#9907); +#9909=ORIENTED_EDGE('',*,*,#18887,.F.); +#9910=ORIENTED_EDGE('',*,*,#18987,.T.); +#9911=ORIENTED_EDGE('',*,*,#18988,.T.); +#9912=ORIENTED_EDGE('',*,*,#18984,.F.); +#9913=EDGE_LOOP('',(#9909,#9910,#9911,#9912)); +#9914=FACE_OUTER_BOUND('',#9913,.F.); +#9915=ADVANCED_FACE('',(#9914),#9908,.T.); +#9916=AXIS2_PLACEMENT_3D('',#2317,#76,#80); +#9917=PLANE('',#9916); +#9918=ORIENTED_EDGE('',*,*,#18886,.F.); +#9919=ORIENTED_EDGE('',*,*,#18989,.T.); +#9920=ORIENTED_EDGE('',*,*,#18990,.T.); +#9921=ORIENTED_EDGE('',*,*,#18987,.F.); +#9922=EDGE_LOOP('',(#9918,#9919,#9920,#9921)); +#9923=FACE_OUTER_BOUND('',#9922,.F.); +#9924=ADVANCED_FACE('',(#9923),#9917,.T.); +#9925=AXIS2_PLACEMENT_3D('',#2315,#72,#76); +#9926=PLANE('',#9925); +#9927=ORIENTED_EDGE('',*,*,#18885,.F.); +#9928=ORIENTED_EDGE('',*,*,#18986,.T.); +#9929=ORIENTED_EDGE('',*,*,#18991,.T.); +#9930=ORIENTED_EDGE('',*,*,#18989,.F.); +#9931=EDGE_LOOP('',(#9927,#9928,#9929,#9930)); +#9932=FACE_OUTER_BOUND('',#9931,.F.); +#9933=ADVANCED_FACE('',(#9932),#9926,.T.); +#9934=ORIENTED_EDGE('',*,*,#18985,.F.); +#9935=ORIENTED_EDGE('',*,*,#18988,.F.); +#9936=ORIENTED_EDGE('',*,*,#18990,.F.); +#9937=ORIENTED_EDGE('',*,*,#18991,.F.); +#9938=EDGE_LOOP('',(#9934,#9935,#9936,#9937)); +#9939=FACE_OUTER_BOUND('',#9938,.F.); +#9940=ADVANCED_FACE('',(#9939),#7485,.T.); +#9941=AXIS2_PLACEMENT_3D('',#2321,#84,#72); +#9942=PLANE('',#9941); +#9943=ORIENTED_EDGE('',*,*,#18888,.F.); +#9944=ORIENTED_EDGE('',*,*,#18992,.T.); +#9945=ORIENTED_EDGE('',*,*,#18993,.T.); +#9946=ORIENTED_EDGE('',*,*,#18994,.F.); +#9947=EDGE_LOOP('',(#9943,#9944,#9945,#9946)); +#9948=FACE_OUTER_BOUND('',#9947,.F.); +#9949=ADVANCED_FACE('',(#9948),#9942,.T.); +#9950=AXIS2_PLACEMENT_3D('',#2327,#80,#84); +#9951=PLANE('',#9950); +#9952=ORIENTED_EDGE('',*,*,#18891,.F.); +#9953=ORIENTED_EDGE('',*,*,#18995,.T.); +#9954=ORIENTED_EDGE('',*,*,#18996,.T.); +#9955=ORIENTED_EDGE('',*,*,#18992,.F.); +#9956=EDGE_LOOP('',(#9952,#9953,#9954,#9955)); +#9957=FACE_OUTER_BOUND('',#9956,.F.); +#9958=ADVANCED_FACE('',(#9957),#9951,.T.); +#9959=AXIS2_PLACEMENT_3D('',#2325,#76,#80); +#9960=PLANE('',#9959); +#9961=ORIENTED_EDGE('',*,*,#18890,.F.); +#9962=ORIENTED_EDGE('',*,*,#18997,.T.); +#9963=ORIENTED_EDGE('',*,*,#18998,.T.); +#9964=ORIENTED_EDGE('',*,*,#18995,.F.); +#9965=EDGE_LOOP('',(#9961,#9962,#9963,#9964)); +#9966=FACE_OUTER_BOUND('',#9965,.F.); +#9967=ADVANCED_FACE('',(#9966),#9960,.T.); +#9968=AXIS2_PLACEMENT_3D('',#2323,#72,#76); +#9969=PLANE('',#9968); +#9970=ORIENTED_EDGE('',*,*,#18889,.F.); +#9971=ORIENTED_EDGE('',*,*,#18994,.T.); +#9972=ORIENTED_EDGE('',*,*,#18999,.T.); +#9973=ORIENTED_EDGE('',*,*,#18997,.F.); +#9974=EDGE_LOOP('',(#9970,#9971,#9972,#9973)); +#9975=FACE_OUTER_BOUND('',#9974,.F.); +#9976=ADVANCED_FACE('',(#9975),#9969,.T.); +#9977=ORIENTED_EDGE('',*,*,#18993,.F.); +#9978=ORIENTED_EDGE('',*,*,#18996,.F.); +#9979=ORIENTED_EDGE('',*,*,#18998,.F.); +#9980=ORIENTED_EDGE('',*,*,#18999,.F.); +#9981=EDGE_LOOP('',(#9977,#9978,#9979,#9980)); +#9982=FACE_OUTER_BOUND('',#9981,.F.); +#9983=ADVANCED_FACE('',(#9982),#7485,.T.); +#9984=AXIS2_PLACEMENT_3D('',#2329,#84,#72); +#9985=PLANE('',#9984); +#9986=ORIENTED_EDGE('',*,*,#18892,.F.); +#9987=ORIENTED_EDGE('',*,*,#19000,.T.); +#9988=ORIENTED_EDGE('',*,*,#19001,.T.); +#9989=ORIENTED_EDGE('',*,*,#19002,.F.); +#9990=EDGE_LOOP('',(#9986,#9987,#9988,#9989)); +#9991=FACE_OUTER_BOUND('',#9990,.F.); +#9992=ADVANCED_FACE('',(#9991),#9985,.T.); +#9993=AXIS2_PLACEMENT_3D('',#2335,#80,#84); +#9994=PLANE('',#9993); +#9995=ORIENTED_EDGE('',*,*,#18895,.F.); +#9996=ORIENTED_EDGE('',*,*,#19003,.T.); +#9997=ORIENTED_EDGE('',*,*,#19004,.T.); +#9998=ORIENTED_EDGE('',*,*,#19000,.F.); +#9999=EDGE_LOOP('',(#9995,#9996,#9997,#9998)); +#10000=FACE_OUTER_BOUND('',#9999,.F.); +#10001=ADVANCED_FACE('',(#10000),#9994,.T.); +#10002=AXIS2_PLACEMENT_3D('',#2333,#76,#80); +#10003=PLANE('',#10002); +#10004=ORIENTED_EDGE('',*,*,#18894,.F.); +#10005=ORIENTED_EDGE('',*,*,#19005,.T.); +#10006=ORIENTED_EDGE('',*,*,#19006,.T.); +#10007=ORIENTED_EDGE('',*,*,#19003,.F.); +#10008=EDGE_LOOP('',(#10004,#10005,#10006,#10007)); +#10009=FACE_OUTER_BOUND('',#10008,.F.); +#10010=ADVANCED_FACE('',(#10009),#10003,.T.); +#10011=AXIS2_PLACEMENT_3D('',#2331,#72,#76); +#10012=PLANE('',#10011); +#10013=ORIENTED_EDGE('',*,*,#18893,.F.); +#10014=ORIENTED_EDGE('',*,*,#19002,.T.); +#10015=ORIENTED_EDGE('',*,*,#19007,.T.); +#10016=ORIENTED_EDGE('',*,*,#19005,.F.); +#10017=EDGE_LOOP('',(#10013,#10014,#10015,#10016)); +#10018=FACE_OUTER_BOUND('',#10017,.F.); +#10019=ADVANCED_FACE('',(#10018),#10012,.T.); +#10020=ORIENTED_EDGE('',*,*,#19001,.F.); +#10021=ORIENTED_EDGE('',*,*,#19004,.F.); +#10022=ORIENTED_EDGE('',*,*,#19006,.F.); +#10023=ORIENTED_EDGE('',*,*,#19007,.F.); +#10024=EDGE_LOOP('',(#10020,#10021,#10022,#10023)); +#10025=FACE_OUTER_BOUND('',#10024,.F.); +#10026=ADVANCED_FACE('',(#10025),#7485,.T.); +#10027=AXIS2_PLACEMENT_3D('',#2337,#84,#72); +#10028=PLANE('',#10027); +#10029=ORIENTED_EDGE('',*,*,#18896,.F.); +#10030=ORIENTED_EDGE('',*,*,#19008,.T.); +#10031=ORIENTED_EDGE('',*,*,#19009,.T.); +#10032=ORIENTED_EDGE('',*,*,#19010,.F.); +#10033=EDGE_LOOP('',(#10029,#10030,#10031,#10032)); +#10034=FACE_OUTER_BOUND('',#10033,.F.); +#10035=ADVANCED_FACE('',(#10034),#10028,.T.); +#10036=AXIS2_PLACEMENT_3D('',#2343,#80,#84); +#10037=PLANE('',#10036); +#10038=ORIENTED_EDGE('',*,*,#18899,.F.); +#10039=ORIENTED_EDGE('',*,*,#19011,.T.); +#10040=ORIENTED_EDGE('',*,*,#19012,.T.); +#10041=ORIENTED_EDGE('',*,*,#19008,.F.); +#10042=EDGE_LOOP('',(#10038,#10039,#10040,#10041)); +#10043=FACE_OUTER_BOUND('',#10042,.F.); +#10044=ADVANCED_FACE('',(#10043),#10037,.T.); +#10045=AXIS2_PLACEMENT_3D('',#2341,#76,#80); +#10046=PLANE('',#10045); +#10047=ORIENTED_EDGE('',*,*,#18898,.F.); +#10048=ORIENTED_EDGE('',*,*,#19013,.T.); +#10049=ORIENTED_EDGE('',*,*,#19014,.T.); +#10050=ORIENTED_EDGE('',*,*,#19011,.F.); +#10051=EDGE_LOOP('',(#10047,#10048,#10049,#10050)); +#10052=FACE_OUTER_BOUND('',#10051,.F.); +#10053=ADVANCED_FACE('',(#10052),#10046,.T.); +#10054=AXIS2_PLACEMENT_3D('',#2339,#72,#76); +#10055=PLANE('',#10054); +#10056=ORIENTED_EDGE('',*,*,#18897,.F.); +#10057=ORIENTED_EDGE('',*,*,#19010,.T.); +#10058=ORIENTED_EDGE('',*,*,#19015,.T.); +#10059=ORIENTED_EDGE('',*,*,#19013,.F.); +#10060=EDGE_LOOP('',(#10056,#10057,#10058,#10059)); +#10061=FACE_OUTER_BOUND('',#10060,.F.); +#10062=ADVANCED_FACE('',(#10061),#10055,.T.); +#10063=ORIENTED_EDGE('',*,*,#19009,.F.); +#10064=ORIENTED_EDGE('',*,*,#19012,.F.); +#10065=ORIENTED_EDGE('',*,*,#19014,.F.); +#10066=ORIENTED_EDGE('',*,*,#19015,.F.); +#10067=EDGE_LOOP('',(#10063,#10064,#10065,#10066)); +#10068=FACE_OUTER_BOUND('',#10067,.F.); +#10069=ADVANCED_FACE('',(#10068),#7485,.T.); +#10070=AXIS2_PLACEMENT_3D('',#2345,#84,#72); +#10071=PLANE('',#10070); +#10072=ORIENTED_EDGE('',*,*,#18900,.F.); +#10073=ORIENTED_EDGE('',*,*,#19016,.T.); +#10074=ORIENTED_EDGE('',*,*,#19017,.T.); +#10075=ORIENTED_EDGE('',*,*,#19018,.F.); +#10076=EDGE_LOOP('',(#10072,#10073,#10074,#10075)); +#10077=FACE_OUTER_BOUND('',#10076,.F.); +#10078=ADVANCED_FACE('',(#10077),#10071,.T.); +#10079=AXIS2_PLACEMENT_3D('',#2351,#80,#84); +#10080=PLANE('',#10079); +#10081=ORIENTED_EDGE('',*,*,#18903,.F.); +#10082=ORIENTED_EDGE('',*,*,#19019,.T.); +#10083=ORIENTED_EDGE('',*,*,#19020,.T.); +#10084=ORIENTED_EDGE('',*,*,#19016,.F.); +#10085=EDGE_LOOP('',(#10081,#10082,#10083,#10084)); +#10086=FACE_OUTER_BOUND('',#10085,.F.); +#10087=ADVANCED_FACE('',(#10086),#10080,.T.); +#10088=AXIS2_PLACEMENT_3D('',#2349,#76,#80); +#10089=PLANE('',#10088); +#10090=ORIENTED_EDGE('',*,*,#18902,.F.); +#10091=ORIENTED_EDGE('',*,*,#19021,.T.); +#10092=ORIENTED_EDGE('',*,*,#19022,.T.); +#10093=ORIENTED_EDGE('',*,*,#19019,.F.); +#10094=EDGE_LOOP('',(#10090,#10091,#10092,#10093)); +#10095=FACE_OUTER_BOUND('',#10094,.F.); +#10096=ADVANCED_FACE('',(#10095),#10089,.T.); +#10097=AXIS2_PLACEMENT_3D('',#2347,#72,#76); +#10098=PLANE('',#10097); +#10099=ORIENTED_EDGE('',*,*,#18901,.F.); +#10100=ORIENTED_EDGE('',*,*,#19018,.T.); +#10101=ORIENTED_EDGE('',*,*,#19023,.T.); +#10102=ORIENTED_EDGE('',*,*,#19021,.F.); +#10103=EDGE_LOOP('',(#10099,#10100,#10101,#10102)); +#10104=FACE_OUTER_BOUND('',#10103,.F.); +#10105=ADVANCED_FACE('',(#10104),#10098,.T.); +#10106=ORIENTED_EDGE('',*,*,#19017,.F.); +#10107=ORIENTED_EDGE('',*,*,#19020,.F.); +#10108=ORIENTED_EDGE('',*,*,#19022,.F.); +#10109=ORIENTED_EDGE('',*,*,#19023,.F.); +#10110=EDGE_LOOP('',(#10106,#10107,#10108,#10109)); +#10111=FACE_OUTER_BOUND('',#10110,.F.); +#10112=ADVANCED_FACE('',(#10111),#7485,.T.); +#10113=AXIS2_PLACEMENT_3D('',#2353,#84,#72); +#10114=PLANE('',#10113); +#10115=ORIENTED_EDGE('',*,*,#18904,.F.); +#10116=ORIENTED_EDGE('',*,*,#19024,.T.); +#10117=ORIENTED_EDGE('',*,*,#19025,.T.); +#10118=ORIENTED_EDGE('',*,*,#19026,.F.); +#10119=EDGE_LOOP('',(#10115,#10116,#10117,#10118)); +#10120=FACE_OUTER_BOUND('',#10119,.F.); +#10121=ADVANCED_FACE('',(#10120),#10114,.T.); +#10122=AXIS2_PLACEMENT_3D('',#2359,#80,#84); +#10123=PLANE('',#10122); +#10124=ORIENTED_EDGE('',*,*,#18907,.F.); +#10125=ORIENTED_EDGE('',*,*,#19027,.T.); +#10126=ORIENTED_EDGE('',*,*,#19028,.T.); +#10127=ORIENTED_EDGE('',*,*,#19024,.F.); +#10128=EDGE_LOOP('',(#10124,#10125,#10126,#10127)); +#10129=FACE_OUTER_BOUND('',#10128,.F.); +#10130=ADVANCED_FACE('',(#10129),#10123,.T.); +#10131=AXIS2_PLACEMENT_3D('',#2357,#76,#80); +#10132=PLANE('',#10131); +#10133=ORIENTED_EDGE('',*,*,#18906,.F.); +#10134=ORIENTED_EDGE('',*,*,#19029,.T.); +#10135=ORIENTED_EDGE('',*,*,#19030,.T.); +#10136=ORIENTED_EDGE('',*,*,#19027,.F.); +#10137=EDGE_LOOP('',(#10133,#10134,#10135,#10136)); +#10138=FACE_OUTER_BOUND('',#10137,.F.); +#10139=ADVANCED_FACE('',(#10138),#10132,.T.); +#10140=AXIS2_PLACEMENT_3D('',#2355,#72,#76); +#10141=PLANE('',#10140); +#10142=ORIENTED_EDGE('',*,*,#18905,.F.); +#10143=ORIENTED_EDGE('',*,*,#19026,.T.); +#10144=ORIENTED_EDGE('',*,*,#19031,.T.); +#10145=ORIENTED_EDGE('',*,*,#19029,.F.); +#10146=EDGE_LOOP('',(#10142,#10143,#10144,#10145)); +#10147=FACE_OUTER_BOUND('',#10146,.F.); +#10148=ADVANCED_FACE('',(#10147),#10141,.T.); +#10149=ORIENTED_EDGE('',*,*,#19025,.F.); +#10150=ORIENTED_EDGE('',*,*,#19028,.F.); +#10151=ORIENTED_EDGE('',*,*,#19030,.F.); +#10152=ORIENTED_EDGE('',*,*,#19031,.F.); +#10153=EDGE_LOOP('',(#10149,#10150,#10151,#10152)); +#10154=FACE_OUTER_BOUND('',#10153,.F.); +#10155=ADVANCED_FACE('',(#10154),#7485,.T.); +#10156=AXIS2_PLACEMENT_3D('',#2361,#84,#72); +#10157=PLANE('',#10156); +#10158=ORIENTED_EDGE('',*,*,#18908,.F.); +#10159=ORIENTED_EDGE('',*,*,#19032,.T.); +#10160=ORIENTED_EDGE('',*,*,#19033,.T.); +#10161=ORIENTED_EDGE('',*,*,#19034,.F.); +#10162=EDGE_LOOP('',(#10158,#10159,#10160,#10161)); +#10163=FACE_OUTER_BOUND('',#10162,.F.); +#10164=ADVANCED_FACE('',(#10163),#10157,.T.); +#10165=AXIS2_PLACEMENT_3D('',#2367,#80,#84); +#10166=PLANE('',#10165); +#10167=ORIENTED_EDGE('',*,*,#18911,.F.); +#10168=ORIENTED_EDGE('',*,*,#19035,.T.); +#10169=ORIENTED_EDGE('',*,*,#19036,.T.); +#10170=ORIENTED_EDGE('',*,*,#19032,.F.); +#10171=EDGE_LOOP('',(#10167,#10168,#10169,#10170)); +#10172=FACE_OUTER_BOUND('',#10171,.F.); +#10173=ADVANCED_FACE('',(#10172),#10166,.T.); +#10174=AXIS2_PLACEMENT_3D('',#2365,#76,#80); +#10175=PLANE('',#10174); +#10176=ORIENTED_EDGE('',*,*,#18910,.F.); +#10177=ORIENTED_EDGE('',*,*,#19037,.T.); +#10178=ORIENTED_EDGE('',*,*,#19038,.T.); +#10179=ORIENTED_EDGE('',*,*,#19035,.F.); +#10180=EDGE_LOOP('',(#10176,#10177,#10178,#10179)); +#10181=FACE_OUTER_BOUND('',#10180,.F.); +#10182=ADVANCED_FACE('',(#10181),#10175,.T.); +#10183=AXIS2_PLACEMENT_3D('',#2363,#72,#76); +#10184=PLANE('',#10183); +#10185=ORIENTED_EDGE('',*,*,#18909,.F.); +#10186=ORIENTED_EDGE('',*,*,#19034,.T.); +#10187=ORIENTED_EDGE('',*,*,#19039,.T.); +#10188=ORIENTED_EDGE('',*,*,#19037,.F.); +#10189=EDGE_LOOP('',(#10185,#10186,#10187,#10188)); +#10190=FACE_OUTER_BOUND('',#10189,.F.); +#10191=ADVANCED_FACE('',(#10190),#10184,.T.); +#10192=ORIENTED_EDGE('',*,*,#19033,.F.); +#10193=ORIENTED_EDGE('',*,*,#19036,.F.); +#10194=ORIENTED_EDGE('',*,*,#19038,.F.); +#10195=ORIENTED_EDGE('',*,*,#19039,.F.); +#10196=EDGE_LOOP('',(#10192,#10193,#10194,#10195)); +#10197=FACE_OUTER_BOUND('',#10196,.F.); +#10198=ADVANCED_FACE('',(#10197),#7485,.T.); +#10199=AXIS2_PLACEMENT_3D('',#2369,#84,#72); +#10200=PLANE('',#10199); +#10201=ORIENTED_EDGE('',*,*,#18912,.F.); +#10202=ORIENTED_EDGE('',*,*,#19040,.T.); +#10203=ORIENTED_EDGE('',*,*,#19041,.T.); +#10204=ORIENTED_EDGE('',*,*,#19042,.F.); +#10205=EDGE_LOOP('',(#10201,#10202,#10203,#10204)); +#10206=FACE_OUTER_BOUND('',#10205,.F.); +#10207=ADVANCED_FACE('',(#10206),#10200,.T.); +#10208=AXIS2_PLACEMENT_3D('',#2375,#80,#84); +#10209=PLANE('',#10208); +#10210=ORIENTED_EDGE('',*,*,#18915,.F.); +#10211=ORIENTED_EDGE('',*,*,#19043,.T.); +#10212=ORIENTED_EDGE('',*,*,#19044,.T.); +#10213=ORIENTED_EDGE('',*,*,#19040,.F.); +#10214=EDGE_LOOP('',(#10210,#10211,#10212,#10213)); +#10215=FACE_OUTER_BOUND('',#10214,.F.); +#10216=ADVANCED_FACE('',(#10215),#10209,.T.); +#10217=AXIS2_PLACEMENT_3D('',#2373,#76,#80); +#10218=PLANE('',#10217); +#10219=ORIENTED_EDGE('',*,*,#18914,.F.); +#10220=ORIENTED_EDGE('',*,*,#19045,.T.); +#10221=ORIENTED_EDGE('',*,*,#19046,.T.); +#10222=ORIENTED_EDGE('',*,*,#19043,.F.); +#10223=EDGE_LOOP('',(#10219,#10220,#10221,#10222)); +#10224=FACE_OUTER_BOUND('',#10223,.F.); +#10225=ADVANCED_FACE('',(#10224),#10218,.T.); +#10226=AXIS2_PLACEMENT_3D('',#2371,#72,#76); +#10227=PLANE('',#10226); +#10228=ORIENTED_EDGE('',*,*,#18913,.F.); +#10229=ORIENTED_EDGE('',*,*,#19042,.T.); +#10230=ORIENTED_EDGE('',*,*,#19047,.T.); +#10231=ORIENTED_EDGE('',*,*,#19045,.F.); +#10232=EDGE_LOOP('',(#10228,#10229,#10230,#10231)); +#10233=FACE_OUTER_BOUND('',#10232,.F.); +#10234=ADVANCED_FACE('',(#10233),#10227,.T.); +#10235=ORIENTED_EDGE('',*,*,#19041,.F.); +#10236=ORIENTED_EDGE('',*,*,#19044,.F.); +#10237=ORIENTED_EDGE('',*,*,#19046,.F.); +#10238=ORIENTED_EDGE('',*,*,#19047,.F.); +#10239=EDGE_LOOP('',(#10235,#10236,#10237,#10238)); +#10240=FACE_OUTER_BOUND('',#10239,.F.); +#10241=ADVANCED_FACE('',(#10240),#7485,.T.); +#10242=AXIS2_PLACEMENT_3D('',#2377,#84,#72); +#10243=PLANE('',#10242); +#10244=ORIENTED_EDGE('',*,*,#18916,.F.); +#10245=ORIENTED_EDGE('',*,*,#19048,.T.); +#10246=ORIENTED_EDGE('',*,*,#19049,.T.); +#10247=ORIENTED_EDGE('',*,*,#19050,.F.); +#10248=EDGE_LOOP('',(#10244,#10245,#10246,#10247)); +#10249=FACE_OUTER_BOUND('',#10248,.F.); +#10250=ADVANCED_FACE('',(#10249),#10243,.T.); +#10251=AXIS2_PLACEMENT_3D('',#2383,#80,#84); +#10252=PLANE('',#10251); +#10253=ORIENTED_EDGE('',*,*,#18919,.F.); +#10254=ORIENTED_EDGE('',*,*,#19051,.T.); +#10255=ORIENTED_EDGE('',*,*,#19052,.T.); +#10256=ORIENTED_EDGE('',*,*,#19048,.F.); +#10257=EDGE_LOOP('',(#10253,#10254,#10255,#10256)); +#10258=FACE_OUTER_BOUND('',#10257,.F.); +#10259=ADVANCED_FACE('',(#10258),#10252,.T.); +#10260=AXIS2_PLACEMENT_3D('',#2381,#76,#80); +#10261=PLANE('',#10260); +#10262=ORIENTED_EDGE('',*,*,#18918,.F.); +#10263=ORIENTED_EDGE('',*,*,#19053,.T.); +#10264=ORIENTED_EDGE('',*,*,#19054,.T.); +#10265=ORIENTED_EDGE('',*,*,#19051,.F.); +#10266=EDGE_LOOP('',(#10262,#10263,#10264,#10265)); +#10267=FACE_OUTER_BOUND('',#10266,.F.); +#10268=ADVANCED_FACE('',(#10267),#10261,.T.); +#10269=AXIS2_PLACEMENT_3D('',#2379,#72,#76); +#10270=PLANE('',#10269); +#10271=ORIENTED_EDGE('',*,*,#18917,.F.); +#10272=ORIENTED_EDGE('',*,*,#19050,.T.); +#10273=ORIENTED_EDGE('',*,*,#19055,.T.); +#10274=ORIENTED_EDGE('',*,*,#19053,.F.); +#10275=EDGE_LOOP('',(#10271,#10272,#10273,#10274)); +#10276=FACE_OUTER_BOUND('',#10275,.F.); +#10277=ADVANCED_FACE('',(#10276),#10270,.T.); +#10278=ORIENTED_EDGE('',*,*,#19049,.F.); +#10279=ORIENTED_EDGE('',*,*,#19052,.F.); +#10280=ORIENTED_EDGE('',*,*,#19054,.F.); +#10281=ORIENTED_EDGE('',*,*,#19055,.F.); +#10282=EDGE_LOOP('',(#10278,#10279,#10280,#10281)); +#10283=FACE_OUTER_BOUND('',#10282,.F.); +#10284=ADVANCED_FACE('',(#10283),#7485,.T.); +#10285=AXIS2_PLACEMENT_3D('',#2385,#84,#72); +#10286=PLANE('',#10285); +#10287=ORIENTED_EDGE('',*,*,#18920,.F.); +#10288=ORIENTED_EDGE('',*,*,#19056,.T.); +#10289=ORIENTED_EDGE('',*,*,#19057,.T.); +#10290=ORIENTED_EDGE('',*,*,#19058,.F.); +#10291=EDGE_LOOP('',(#10287,#10288,#10289,#10290)); +#10292=FACE_OUTER_BOUND('',#10291,.F.); +#10293=ADVANCED_FACE('',(#10292),#10286,.T.); +#10294=AXIS2_PLACEMENT_3D('',#2391,#80,#84); +#10295=PLANE('',#10294); +#10296=ORIENTED_EDGE('',*,*,#18923,.F.); +#10297=ORIENTED_EDGE('',*,*,#19059,.T.); +#10298=ORIENTED_EDGE('',*,*,#19060,.T.); +#10299=ORIENTED_EDGE('',*,*,#19056,.F.); +#10300=EDGE_LOOP('',(#10296,#10297,#10298,#10299)); +#10301=FACE_OUTER_BOUND('',#10300,.F.); +#10302=ADVANCED_FACE('',(#10301),#10295,.T.); +#10303=AXIS2_PLACEMENT_3D('',#2389,#76,#80); +#10304=PLANE('',#10303); +#10305=ORIENTED_EDGE('',*,*,#18922,.F.); +#10306=ORIENTED_EDGE('',*,*,#19061,.T.); +#10307=ORIENTED_EDGE('',*,*,#19062,.T.); +#10308=ORIENTED_EDGE('',*,*,#19059,.F.); +#10309=EDGE_LOOP('',(#10305,#10306,#10307,#10308)); +#10310=FACE_OUTER_BOUND('',#10309,.F.); +#10311=ADVANCED_FACE('',(#10310),#10304,.T.); +#10312=AXIS2_PLACEMENT_3D('',#2387,#72,#76); +#10313=PLANE('',#10312); +#10314=ORIENTED_EDGE('',*,*,#18921,.F.); +#10315=ORIENTED_EDGE('',*,*,#19058,.T.); +#10316=ORIENTED_EDGE('',*,*,#19063,.T.); +#10317=ORIENTED_EDGE('',*,*,#19061,.F.); +#10318=EDGE_LOOP('',(#10314,#10315,#10316,#10317)); +#10319=FACE_OUTER_BOUND('',#10318,.F.); +#10320=ADVANCED_FACE('',(#10319),#10313,.T.); +#10321=ORIENTED_EDGE('',*,*,#19057,.F.); +#10322=ORIENTED_EDGE('',*,*,#19060,.F.); +#10323=ORIENTED_EDGE('',*,*,#19062,.F.); +#10324=ORIENTED_EDGE('',*,*,#19063,.F.); +#10325=EDGE_LOOP('',(#10321,#10322,#10323,#10324)); +#10326=FACE_OUTER_BOUND('',#10325,.F.); +#10327=ADVANCED_FACE('',(#10326),#7485,.T.); +#10328=AXIS2_PLACEMENT_3D('',#2393,#84,#72); +#10329=PLANE('',#10328); +#10330=ORIENTED_EDGE('',*,*,#18924,.F.); +#10331=ORIENTED_EDGE('',*,*,#19064,.T.); +#10332=ORIENTED_EDGE('',*,*,#19065,.T.); +#10333=ORIENTED_EDGE('',*,*,#19066,.F.); +#10334=EDGE_LOOP('',(#10330,#10331,#10332,#10333)); +#10335=FACE_OUTER_BOUND('',#10334,.F.); +#10336=ADVANCED_FACE('',(#10335),#10329,.T.); +#10337=AXIS2_PLACEMENT_3D('',#2399,#80,#84); +#10338=PLANE('',#10337); +#10339=ORIENTED_EDGE('',*,*,#18927,.F.); +#10340=ORIENTED_EDGE('',*,*,#19067,.T.); +#10341=ORIENTED_EDGE('',*,*,#19068,.T.); +#10342=ORIENTED_EDGE('',*,*,#19064,.F.); +#10343=EDGE_LOOP('',(#10339,#10340,#10341,#10342)); +#10344=FACE_OUTER_BOUND('',#10343,.F.); +#10345=ADVANCED_FACE('',(#10344),#10338,.T.); +#10346=AXIS2_PLACEMENT_3D('',#2397,#76,#80); +#10347=PLANE('',#10346); +#10348=ORIENTED_EDGE('',*,*,#18926,.F.); +#10349=ORIENTED_EDGE('',*,*,#19069,.T.); +#10350=ORIENTED_EDGE('',*,*,#19070,.T.); +#10351=ORIENTED_EDGE('',*,*,#19067,.F.); +#10352=EDGE_LOOP('',(#10348,#10349,#10350,#10351)); +#10353=FACE_OUTER_BOUND('',#10352,.F.); +#10354=ADVANCED_FACE('',(#10353),#10347,.T.); +#10355=AXIS2_PLACEMENT_3D('',#2395,#72,#76); +#10356=PLANE('',#10355); +#10357=ORIENTED_EDGE('',*,*,#18925,.F.); +#10358=ORIENTED_EDGE('',*,*,#19066,.T.); +#10359=ORIENTED_EDGE('',*,*,#19071,.T.); +#10360=ORIENTED_EDGE('',*,*,#19069,.F.); +#10361=EDGE_LOOP('',(#10357,#10358,#10359,#10360)); +#10362=FACE_OUTER_BOUND('',#10361,.F.); +#10363=ADVANCED_FACE('',(#10362),#10356,.T.); +#10364=ORIENTED_EDGE('',*,*,#19065,.F.); +#10365=ORIENTED_EDGE('',*,*,#19068,.F.); +#10366=ORIENTED_EDGE('',*,*,#19070,.F.); +#10367=ORIENTED_EDGE('',*,*,#19071,.F.); +#10368=EDGE_LOOP('',(#10364,#10365,#10366,#10367)); +#10369=FACE_OUTER_BOUND('',#10368,.F.); +#10370=ADVANCED_FACE('',(#10369),#7485,.T.); +#10371=AXIS2_PLACEMENT_3D('',#2401,#84,#72); +#10372=PLANE('',#10371); +#10373=ORIENTED_EDGE('',*,*,#18928,.F.); +#10374=ORIENTED_EDGE('',*,*,#19072,.T.); +#10375=ORIENTED_EDGE('',*,*,#19073,.T.); +#10376=ORIENTED_EDGE('',*,*,#19074,.F.); +#10377=EDGE_LOOP('',(#10373,#10374,#10375,#10376)); +#10378=FACE_OUTER_BOUND('',#10377,.F.); +#10379=ADVANCED_FACE('',(#10378),#10372,.T.); +#10380=AXIS2_PLACEMENT_3D('',#2407,#80,#84); +#10381=PLANE('',#10380); +#10382=ORIENTED_EDGE('',*,*,#18931,.F.); +#10383=ORIENTED_EDGE('',*,*,#19075,.T.); +#10384=ORIENTED_EDGE('',*,*,#19076,.T.); +#10385=ORIENTED_EDGE('',*,*,#19072,.F.); +#10386=EDGE_LOOP('',(#10382,#10383,#10384,#10385)); +#10387=FACE_OUTER_BOUND('',#10386,.F.); +#10388=ADVANCED_FACE('',(#10387),#10381,.T.); +#10389=AXIS2_PLACEMENT_3D('',#2405,#76,#80); +#10390=PLANE('',#10389); +#10391=ORIENTED_EDGE('',*,*,#18930,.F.); +#10392=ORIENTED_EDGE('',*,*,#19077,.T.); +#10393=ORIENTED_EDGE('',*,*,#19078,.T.); +#10394=ORIENTED_EDGE('',*,*,#19075,.F.); +#10395=EDGE_LOOP('',(#10391,#10392,#10393,#10394)); +#10396=FACE_OUTER_BOUND('',#10395,.F.); +#10397=ADVANCED_FACE('',(#10396),#10390,.T.); +#10398=AXIS2_PLACEMENT_3D('',#2403,#72,#76); +#10399=PLANE('',#10398); +#10400=ORIENTED_EDGE('',*,*,#18929,.F.); +#10401=ORIENTED_EDGE('',*,*,#19074,.T.); +#10402=ORIENTED_EDGE('',*,*,#19079,.T.); +#10403=ORIENTED_EDGE('',*,*,#19077,.F.); +#10404=EDGE_LOOP('',(#10400,#10401,#10402,#10403)); +#10405=FACE_OUTER_BOUND('',#10404,.F.); +#10406=ADVANCED_FACE('',(#10405),#10399,.T.); +#10407=ORIENTED_EDGE('',*,*,#19073,.F.); +#10408=ORIENTED_EDGE('',*,*,#19076,.F.); +#10409=ORIENTED_EDGE('',*,*,#19078,.F.); +#10410=ORIENTED_EDGE('',*,*,#19079,.F.); +#10411=EDGE_LOOP('',(#10407,#10408,#10409,#10410)); +#10412=FACE_OUTER_BOUND('',#10411,.F.); +#10413=ADVANCED_FACE('',(#10412),#7485,.T.); +#10414=AXIS2_PLACEMENT_3D('',#2409,#84,#72); +#10415=PLANE('',#10414); +#10416=ORIENTED_EDGE('',*,*,#18932,.F.); +#10417=ORIENTED_EDGE('',*,*,#19080,.T.); +#10418=ORIENTED_EDGE('',*,*,#19081,.T.); +#10419=ORIENTED_EDGE('',*,*,#19082,.F.); +#10420=EDGE_LOOP('',(#10416,#10417,#10418,#10419)); +#10421=FACE_OUTER_BOUND('',#10420,.F.); +#10422=ADVANCED_FACE('',(#10421),#10415,.T.); +#10423=AXIS2_PLACEMENT_3D('',#2415,#80,#84); +#10424=PLANE('',#10423); +#10425=ORIENTED_EDGE('',*,*,#18935,.F.); +#10426=ORIENTED_EDGE('',*,*,#19083,.T.); +#10427=ORIENTED_EDGE('',*,*,#19084,.T.); +#10428=ORIENTED_EDGE('',*,*,#19080,.F.); +#10429=EDGE_LOOP('',(#10425,#10426,#10427,#10428)); +#10430=FACE_OUTER_BOUND('',#10429,.F.); +#10431=ADVANCED_FACE('',(#10430),#10424,.T.); +#10432=AXIS2_PLACEMENT_3D('',#2413,#76,#80); +#10433=PLANE('',#10432); +#10434=ORIENTED_EDGE('',*,*,#18934,.F.); +#10435=ORIENTED_EDGE('',*,*,#19085,.T.); +#10436=ORIENTED_EDGE('',*,*,#19086,.T.); +#10437=ORIENTED_EDGE('',*,*,#19083,.F.); +#10438=EDGE_LOOP('',(#10434,#10435,#10436,#10437)); +#10439=FACE_OUTER_BOUND('',#10438,.F.); +#10440=ADVANCED_FACE('',(#10439),#10433,.T.); +#10441=AXIS2_PLACEMENT_3D('',#2411,#72,#76); +#10442=PLANE('',#10441); +#10443=ORIENTED_EDGE('',*,*,#18933,.F.); +#10444=ORIENTED_EDGE('',*,*,#19082,.T.); +#10445=ORIENTED_EDGE('',*,*,#19087,.T.); +#10446=ORIENTED_EDGE('',*,*,#19085,.F.); +#10447=EDGE_LOOP('',(#10443,#10444,#10445,#10446)); +#10448=FACE_OUTER_BOUND('',#10447,.F.); +#10449=ADVANCED_FACE('',(#10448),#10442,.T.); +#10450=ORIENTED_EDGE('',*,*,#19081,.F.); +#10451=ORIENTED_EDGE('',*,*,#19084,.F.); +#10452=ORIENTED_EDGE('',*,*,#19086,.F.); +#10453=ORIENTED_EDGE('',*,*,#19087,.F.); +#10454=EDGE_LOOP('',(#10450,#10451,#10452,#10453)); +#10455=FACE_OUTER_BOUND('',#10454,.F.); +#10456=ADVANCED_FACE('',(#10455),#7485,.T.); +#10457=CARTESIAN_POINT('',(-1.937E1,-4.13E0,-1.055E1)); +#10458=AXIS2_PLACEMENT_3D('',#10457,#76,#80); +#10459=PLANE('',#10458); +#10460=ORIENTED_EDGE('',*,*,#18801,.F.); +#10461=ORIENTED_EDGE('',*,*,#18794,.T.); +#10462=ORIENTED_EDGE('',*,*,#19088,.T.); +#10463=ORIENTED_EDGE('',*,*,#19089,.T.); +#10464=ORIENTED_EDGE('',*,*,#19090,.F.); +#10465=EDGE_LOOP('',(#10460,#10461,#10462,#10463,#10464)); +#10466=FACE_OUTER_BOUND('',#10465,.F.); +#10467=ADVANCED_FACE('',(#10466),#10459,.T.); +#10468=CARTESIAN_POINT('',(-1.873E1,-4.13E0,-1.055E1)); +#10469=AXIS2_PLACEMENT_3D('',#10468,#72,#76); +#10470=PLANE('',#10469); +#10471=ORIENTED_EDGE('',*,*,#18793,.F.); +#10472=ORIENTED_EDGE('',*,*,#19091,.T.); +#10473=ORIENTED_EDGE('',*,*,#19092,.T.); +#10474=ORIENTED_EDGE('',*,*,#19088,.F.); +#10475=EDGE_LOOP('',(#10471,#10472,#10473,#10474)); +#10476=FACE_OUTER_BOUND('',#10475,.F.); +#10477=ADVANCED_FACE('',(#10476),#10470,.T.); +#10478=AXIS2_PLACEMENT_3D('',#2733,#84,#72); +#10479=PLANE('',#10478); +#10480=ORIENTED_EDGE('',*,*,#18803,.T.); +#10481=ORIENTED_EDGE('',*,*,#19093,.T.); +#10482=ORIENTED_EDGE('',*,*,#19094,.T.); +#10483=ORIENTED_EDGE('',*,*,#19091,.F.); +#10484=ORIENTED_EDGE('',*,*,#18792,.F.); +#10485=EDGE_LOOP('',(#10480,#10481,#10482,#10483,#10484)); +#10486=FACE_OUTER_BOUND('',#10485,.F.); +#10487=ADVANCED_FACE('',(#10486),#10479,.T.); +#10488=AXIS2_PLACEMENT_3D('',#2422,#80,#84); +#10489=PLANE('',#10488); +#10490=ORIENTED_EDGE('',*,*,#18802,.F.); +#10491=ORIENTED_EDGE('',*,*,#19090,.T.); +#10492=ORIENTED_EDGE('',*,*,#19095,.T.); +#10493=ORIENTED_EDGE('',*,*,#19093,.F.); +#10494=EDGE_LOOP('',(#10490,#10491,#10492,#10493)); +#10495=FACE_OUTER_BOUND('',#10494,.F.); +#10496=ADVANCED_FACE('',(#10495),#10489,.T.); +#10497=ORIENTED_EDGE('',*,*,#19094,.F.); +#10498=ORIENTED_EDGE('',*,*,#19095,.F.); +#10499=ORIENTED_EDGE('',*,*,#19089,.F.); +#10500=ORIENTED_EDGE('',*,*,#19092,.F.); +#10501=EDGE_LOOP('',(#10497,#10498,#10499,#10500)); +#10502=FACE_OUTER_BOUND('',#10501,.F.); +#10503=ADVANCED_FACE('',(#10502),#7485,.T.); +#10504=CARTESIAN_POINT('',(-1.683E1,-4.13E0,-1.055E1)); +#10505=AXIS2_PLACEMENT_3D('',#10504,#76,#80); +#10506=PLANE('',#10505); +#10507=ORIENTED_EDGE('',*,*,#18804,.F.); +#10508=ORIENTED_EDGE('',*,*,#18790,.T.); +#10509=ORIENTED_EDGE('',*,*,#19096,.T.); +#10510=ORIENTED_EDGE('',*,*,#19097,.T.); +#10511=ORIENTED_EDGE('',*,*,#19098,.F.); +#10512=EDGE_LOOP('',(#10507,#10508,#10509,#10510,#10511)); +#10513=FACE_OUTER_BOUND('',#10512,.F.); +#10514=ADVANCED_FACE('',(#10513),#10506,.T.); +#10515=CARTESIAN_POINT('',(-1.619E1,-4.13E0,-1.055E1)); +#10516=AXIS2_PLACEMENT_3D('',#10515,#72,#76); +#10517=PLANE('',#10516); +#10518=ORIENTED_EDGE('',*,*,#18789,.F.); +#10519=ORIENTED_EDGE('',*,*,#19099,.T.); +#10520=ORIENTED_EDGE('',*,*,#19100,.T.); +#10521=ORIENTED_EDGE('',*,*,#19096,.F.); +#10522=EDGE_LOOP('',(#10518,#10519,#10520,#10521)); +#10523=FACE_OUTER_BOUND('',#10522,.F.); +#10524=ADVANCED_FACE('',(#10523),#10517,.T.); +#10525=AXIS2_PLACEMENT_3D('',#2747,#84,#72); +#10526=PLANE('',#10525); +#10527=ORIENTED_EDGE('',*,*,#18806,.T.); +#10528=ORIENTED_EDGE('',*,*,#19101,.T.); +#10529=ORIENTED_EDGE('',*,*,#19102,.T.); +#10530=ORIENTED_EDGE('',*,*,#19099,.F.); +#10531=ORIENTED_EDGE('',*,*,#18788,.F.); +#10532=EDGE_LOOP('',(#10527,#10528,#10529,#10530,#10531)); +#10533=FACE_OUTER_BOUND('',#10532,.F.); +#10534=ADVANCED_FACE('',(#10533),#10526,.T.); +#10535=AXIS2_PLACEMENT_3D('',#2426,#80,#84); +#10536=PLANE('',#10535); +#10537=ORIENTED_EDGE('',*,*,#18805,.F.); +#10538=ORIENTED_EDGE('',*,*,#19098,.T.); +#10539=ORIENTED_EDGE('',*,*,#19103,.T.); +#10540=ORIENTED_EDGE('',*,*,#19101,.F.); +#10541=EDGE_LOOP('',(#10537,#10538,#10539,#10540)); +#10542=FACE_OUTER_BOUND('',#10541,.F.); +#10543=ADVANCED_FACE('',(#10542),#10536,.T.); +#10544=ORIENTED_EDGE('',*,*,#19102,.F.); +#10545=ORIENTED_EDGE('',*,*,#19103,.F.); +#10546=ORIENTED_EDGE('',*,*,#19097,.F.); +#10547=ORIENTED_EDGE('',*,*,#19100,.F.); +#10548=EDGE_LOOP('',(#10544,#10545,#10546,#10547)); +#10549=FACE_OUTER_BOUND('',#10548,.F.); +#10550=ADVANCED_FACE('',(#10549),#7485,.T.); +#10551=CARTESIAN_POINT('',(-1.429E1,-4.13E0,-1.055E1)); +#10552=AXIS2_PLACEMENT_3D('',#10551,#76,#80); +#10553=PLANE('',#10552); +#10554=ORIENTED_EDGE('',*,*,#18807,.F.); +#10555=ORIENTED_EDGE('',*,*,#18786,.T.); +#10556=ORIENTED_EDGE('',*,*,#19104,.T.); +#10557=ORIENTED_EDGE('',*,*,#19105,.T.); +#10558=ORIENTED_EDGE('',*,*,#19106,.F.); +#10559=EDGE_LOOP('',(#10554,#10555,#10556,#10557,#10558)); +#10560=FACE_OUTER_BOUND('',#10559,.F.); +#10561=ADVANCED_FACE('',(#10560),#10553,.T.); +#10562=CARTESIAN_POINT('',(-1.365E1,-4.13E0,-1.055E1)); +#10563=AXIS2_PLACEMENT_3D('',#10562,#72,#76); +#10564=PLANE('',#10563); +#10565=ORIENTED_EDGE('',*,*,#18785,.F.); +#10566=ORIENTED_EDGE('',*,*,#19107,.T.); +#10567=ORIENTED_EDGE('',*,*,#19108,.T.); +#10568=ORIENTED_EDGE('',*,*,#19104,.F.); +#10569=EDGE_LOOP('',(#10565,#10566,#10567,#10568)); +#10570=FACE_OUTER_BOUND('',#10569,.F.); +#10571=ADVANCED_FACE('',(#10570),#10564,.T.); +#10572=AXIS2_PLACEMENT_3D('',#2761,#84,#72); +#10573=PLANE('',#10572); +#10574=ORIENTED_EDGE('',*,*,#18809,.T.); +#10575=ORIENTED_EDGE('',*,*,#19109,.T.); +#10576=ORIENTED_EDGE('',*,*,#19110,.T.); +#10577=ORIENTED_EDGE('',*,*,#19107,.F.); +#10578=ORIENTED_EDGE('',*,*,#18784,.F.); +#10579=EDGE_LOOP('',(#10574,#10575,#10576,#10577,#10578)); +#10580=FACE_OUTER_BOUND('',#10579,.F.); +#10581=ADVANCED_FACE('',(#10580),#10573,.T.); +#10582=AXIS2_PLACEMENT_3D('',#2430,#80,#84); +#10583=PLANE('',#10582); +#10584=ORIENTED_EDGE('',*,*,#18808,.F.); +#10585=ORIENTED_EDGE('',*,*,#19106,.T.); +#10586=ORIENTED_EDGE('',*,*,#19111,.T.); +#10587=ORIENTED_EDGE('',*,*,#19109,.F.); +#10588=EDGE_LOOP('',(#10584,#10585,#10586,#10587)); +#10589=FACE_OUTER_BOUND('',#10588,.F.); +#10590=ADVANCED_FACE('',(#10589),#10583,.T.); +#10591=ORIENTED_EDGE('',*,*,#19110,.F.); +#10592=ORIENTED_EDGE('',*,*,#19111,.F.); +#10593=ORIENTED_EDGE('',*,*,#19105,.F.); +#10594=ORIENTED_EDGE('',*,*,#19108,.F.); +#10595=EDGE_LOOP('',(#10591,#10592,#10593,#10594)); +#10596=FACE_OUTER_BOUND('',#10595,.F.); +#10597=ADVANCED_FACE('',(#10596),#7485,.T.); +#10598=CARTESIAN_POINT('',(-1.175E1,-4.13E0,-1.055E1)); +#10599=AXIS2_PLACEMENT_3D('',#10598,#9786,#2291); +#10600=PLANE('',#10599); +#10601=ORIENTED_EDGE('',*,*,#18810,.F.); +#10602=ORIENTED_EDGE('',*,*,#18782,.T.); +#10603=ORIENTED_EDGE('',*,*,#19112,.T.); +#10604=ORIENTED_EDGE('',*,*,#19113,.T.); +#10605=ORIENTED_EDGE('',*,*,#19114,.F.); +#10606=EDGE_LOOP('',(#10601,#10602,#10603,#10604,#10605)); +#10607=FACE_OUTER_BOUND('',#10606,.F.); +#10608=ADVANCED_FACE('',(#10607),#10600,.T.); +#10609=CARTESIAN_POINT('',(-1.111E1,-4.13E0,-1.055E1)); +#10610=AXIS2_PLACEMENT_3D('',#10609,#72,#76); +#10611=PLANE('',#10610); +#10612=ORIENTED_EDGE('',*,*,#18781,.F.); +#10613=ORIENTED_EDGE('',*,*,#19115,.T.); +#10614=ORIENTED_EDGE('',*,*,#19116,.T.); +#10615=ORIENTED_EDGE('',*,*,#19112,.F.); +#10616=EDGE_LOOP('',(#10612,#10613,#10614,#10615)); +#10617=FACE_OUTER_BOUND('',#10616,.F.); +#10618=ADVANCED_FACE('',(#10617),#10611,.T.); +#10619=AXIS2_PLACEMENT_3D('',#2775,#84,#72); +#10620=PLANE('',#10619); +#10621=ORIENTED_EDGE('',*,*,#18812,.T.); +#10622=ORIENTED_EDGE('',*,*,#19117,.T.); +#10623=ORIENTED_EDGE('',*,*,#19118,.T.); +#10624=ORIENTED_EDGE('',*,*,#19115,.F.); +#10625=ORIENTED_EDGE('',*,*,#18780,.F.); +#10626=EDGE_LOOP('',(#10621,#10622,#10623,#10624,#10625)); +#10627=FACE_OUTER_BOUND('',#10626,.F.); +#10628=ADVANCED_FACE('',(#10627),#10620,.T.); +#10629=AXIS2_PLACEMENT_3D('',#2436,#80,#84); +#10630=PLANE('',#10629); +#10631=ORIENTED_EDGE('',*,*,#18811,.F.); +#10632=ORIENTED_EDGE('',*,*,#19114,.T.); +#10633=ORIENTED_EDGE('',*,*,#19119,.T.); +#10634=ORIENTED_EDGE('',*,*,#19117,.F.); +#10635=EDGE_LOOP('',(#10631,#10632,#10633,#10634)); +#10636=FACE_OUTER_BOUND('',#10635,.F.); +#10637=ADVANCED_FACE('',(#10636),#10630,.T.); +#10638=ORIENTED_EDGE('',*,*,#19118,.F.); +#10639=ORIENTED_EDGE('',*,*,#19119,.F.); +#10640=ORIENTED_EDGE('',*,*,#19113,.F.); +#10641=ORIENTED_EDGE('',*,*,#19116,.F.); +#10642=EDGE_LOOP('',(#10638,#10639,#10640,#10641)); +#10643=FACE_OUTER_BOUND('',#10642,.F.); +#10644=ADVANCED_FACE('',(#10643),#7485,.T.); +#10645=CARTESIAN_POINT('',(-9.21E0,-4.13E0,-1.055E1)); +#10646=AXIS2_PLACEMENT_3D('',#10645,#76,#80); +#10647=PLANE('',#10646); +#10648=ORIENTED_EDGE('',*,*,#18813,.F.); +#10649=ORIENTED_EDGE('',*,*,#18778,.T.); +#10650=ORIENTED_EDGE('',*,*,#19120,.T.); +#10651=ORIENTED_EDGE('',*,*,#19121,.T.); +#10652=ORIENTED_EDGE('',*,*,#19122,.F.); +#10653=EDGE_LOOP('',(#10648,#10649,#10650,#10651,#10652)); +#10654=FACE_OUTER_BOUND('',#10653,.F.); +#10655=ADVANCED_FACE('',(#10654),#10647,.T.); +#10656=CARTESIAN_POINT('',(-8.57E0,-4.13E0,-1.055E1)); +#10657=AXIS2_PLACEMENT_3D('',#10656,#72,#76); +#10658=PLANE('',#10657); +#10659=ORIENTED_EDGE('',*,*,#18777,.F.); +#10660=ORIENTED_EDGE('',*,*,#19123,.T.); +#10661=ORIENTED_EDGE('',*,*,#19124,.T.); +#10662=ORIENTED_EDGE('',*,*,#19120,.F.); +#10663=EDGE_LOOP('',(#10659,#10660,#10661,#10662)); +#10664=FACE_OUTER_BOUND('',#10663,.F.); +#10665=ADVANCED_FACE('',(#10664),#10658,.T.); +#10666=AXIS2_PLACEMENT_3D('',#2789,#84,#72); +#10667=PLANE('',#10666); +#10668=ORIENTED_EDGE('',*,*,#18815,.T.); +#10669=ORIENTED_EDGE('',*,*,#19125,.T.); +#10670=ORIENTED_EDGE('',*,*,#19126,.T.); +#10671=ORIENTED_EDGE('',*,*,#19123,.F.); +#10672=ORIENTED_EDGE('',*,*,#18776,.F.); +#10673=EDGE_LOOP('',(#10668,#10669,#10670,#10671,#10672)); +#10674=FACE_OUTER_BOUND('',#10673,.F.); +#10675=ADVANCED_FACE('',(#10674),#10667,.T.); +#10676=AXIS2_PLACEMENT_3D('',#2440,#80,#84); +#10677=PLANE('',#10676); +#10678=ORIENTED_EDGE('',*,*,#18814,.F.); +#10679=ORIENTED_EDGE('',*,*,#19122,.T.); +#10680=ORIENTED_EDGE('',*,*,#19127,.T.); +#10681=ORIENTED_EDGE('',*,*,#19125,.F.); +#10682=EDGE_LOOP('',(#10678,#10679,#10680,#10681)); +#10683=FACE_OUTER_BOUND('',#10682,.F.); +#10684=ADVANCED_FACE('',(#10683),#10677,.T.); +#10685=ORIENTED_EDGE('',*,*,#19126,.F.); +#10686=ORIENTED_EDGE('',*,*,#19127,.F.); +#10687=ORIENTED_EDGE('',*,*,#19121,.F.); +#10688=ORIENTED_EDGE('',*,*,#19124,.F.); +#10689=EDGE_LOOP('',(#10685,#10686,#10687,#10688)); +#10690=FACE_OUTER_BOUND('',#10689,.F.); +#10691=ADVANCED_FACE('',(#10690),#7485,.T.); +#10692=CARTESIAN_POINT('',(-6.67E0,-4.13E0,-1.055E1)); +#10693=AXIS2_PLACEMENT_3D('',#10692,#76,#80); +#10694=PLANE('',#10693); +#10695=ORIENTED_EDGE('',*,*,#18816,.F.); +#10696=ORIENTED_EDGE('',*,*,#18774,.T.); +#10697=ORIENTED_EDGE('',*,*,#19128,.T.); +#10698=ORIENTED_EDGE('',*,*,#19129,.T.); +#10699=ORIENTED_EDGE('',*,*,#19130,.F.); +#10700=EDGE_LOOP('',(#10695,#10696,#10697,#10698,#10699)); +#10701=FACE_OUTER_BOUND('',#10700,.F.); +#10702=ADVANCED_FACE('',(#10701),#10694,.T.); +#10703=CARTESIAN_POINT('',(-6.03E0,-4.13E0,-1.055E1)); +#10704=AXIS2_PLACEMENT_3D('',#10703,#72,#76); +#10705=PLANE('',#10704); +#10706=ORIENTED_EDGE('',*,*,#18773,.F.); +#10707=ORIENTED_EDGE('',*,*,#19131,.T.); +#10708=ORIENTED_EDGE('',*,*,#19132,.T.); +#10709=ORIENTED_EDGE('',*,*,#19128,.F.); +#10710=EDGE_LOOP('',(#10706,#10707,#10708,#10709)); +#10711=FACE_OUTER_BOUND('',#10710,.F.); +#10712=ADVANCED_FACE('',(#10711),#10705,.T.); +#10713=AXIS2_PLACEMENT_3D('',#2803,#84,#72); +#10714=PLANE('',#10713); +#10715=ORIENTED_EDGE('',*,*,#18818,.T.); +#10716=ORIENTED_EDGE('',*,*,#19133,.T.); +#10717=ORIENTED_EDGE('',*,*,#19134,.T.); +#10718=ORIENTED_EDGE('',*,*,#19131,.F.); +#10719=ORIENTED_EDGE('',*,*,#18772,.F.); +#10720=EDGE_LOOP('',(#10715,#10716,#10717,#10718,#10719)); +#10721=FACE_OUTER_BOUND('',#10720,.F.); +#10722=ADVANCED_FACE('',(#10721),#10714,.T.); +#10723=AXIS2_PLACEMENT_3D('',#2444,#80,#84); +#10724=PLANE('',#10723); +#10725=ORIENTED_EDGE('',*,*,#18817,.F.); +#10726=ORIENTED_EDGE('',*,*,#19130,.T.); +#10727=ORIENTED_EDGE('',*,*,#19135,.T.); +#10728=ORIENTED_EDGE('',*,*,#19133,.F.); +#10729=EDGE_LOOP('',(#10725,#10726,#10727,#10728)); +#10730=FACE_OUTER_BOUND('',#10729,.F.); +#10731=ADVANCED_FACE('',(#10730),#10724,.T.); +#10732=ORIENTED_EDGE('',*,*,#19134,.F.); +#10733=ORIENTED_EDGE('',*,*,#19135,.F.); +#10734=ORIENTED_EDGE('',*,*,#19129,.F.); +#10735=ORIENTED_EDGE('',*,*,#19132,.F.); +#10736=EDGE_LOOP('',(#10732,#10733,#10734,#10735)); +#10737=FACE_OUTER_BOUND('',#10736,.F.); +#10738=ADVANCED_FACE('',(#10737),#7485,.T.); +#10739=CARTESIAN_POINT('',(-4.13E0,-4.13E0,-1.055E1)); +#10740=AXIS2_PLACEMENT_3D('',#10739,#76,#80); +#10741=PLANE('',#10740); +#10742=ORIENTED_EDGE('',*,*,#18819,.F.); +#10743=ORIENTED_EDGE('',*,*,#18770,.T.); +#10744=ORIENTED_EDGE('',*,*,#19136,.T.); +#10745=ORIENTED_EDGE('',*,*,#19137,.T.); +#10746=ORIENTED_EDGE('',*,*,#19138,.F.); +#10747=EDGE_LOOP('',(#10742,#10743,#10744,#10745,#10746)); +#10748=FACE_OUTER_BOUND('',#10747,.F.); +#10749=ADVANCED_FACE('',(#10748),#10741,.T.); +#10750=CARTESIAN_POINT('',(-3.49E0,-4.13E0,-1.055E1)); +#10751=AXIS2_PLACEMENT_3D('',#10750,#72,#76); +#10752=PLANE('',#10751); +#10753=ORIENTED_EDGE('',*,*,#18769,.F.); +#10754=ORIENTED_EDGE('',*,*,#19139,.T.); +#10755=ORIENTED_EDGE('',*,*,#19140,.T.); +#10756=ORIENTED_EDGE('',*,*,#19136,.F.); +#10757=EDGE_LOOP('',(#10753,#10754,#10755,#10756)); +#10758=FACE_OUTER_BOUND('',#10757,.F.); +#10759=ADVANCED_FACE('',(#10758),#10752,.T.); +#10760=AXIS2_PLACEMENT_3D('',#2817,#84,#72); +#10761=PLANE('',#10760); +#10762=ORIENTED_EDGE('',*,*,#18821,.T.); +#10763=ORIENTED_EDGE('',*,*,#19141,.T.); +#10764=ORIENTED_EDGE('',*,*,#19142,.T.); +#10765=ORIENTED_EDGE('',*,*,#19139,.F.); +#10766=ORIENTED_EDGE('',*,*,#18768,.F.); +#10767=EDGE_LOOP('',(#10762,#10763,#10764,#10765,#10766)); +#10768=FACE_OUTER_BOUND('',#10767,.F.); +#10769=ADVANCED_FACE('',(#10768),#10761,.T.); +#10770=AXIS2_PLACEMENT_3D('',#2448,#80,#84); +#10771=PLANE('',#10770); +#10772=ORIENTED_EDGE('',*,*,#18820,.F.); +#10773=ORIENTED_EDGE('',*,*,#19138,.T.); +#10774=ORIENTED_EDGE('',*,*,#19143,.T.); +#10775=ORIENTED_EDGE('',*,*,#19141,.F.); +#10776=EDGE_LOOP('',(#10772,#10773,#10774,#10775)); +#10777=FACE_OUTER_BOUND('',#10776,.F.); +#10778=ADVANCED_FACE('',(#10777),#10771,.T.); +#10779=ORIENTED_EDGE('',*,*,#19142,.F.); +#10780=ORIENTED_EDGE('',*,*,#19143,.F.); +#10781=ORIENTED_EDGE('',*,*,#19137,.F.); +#10782=ORIENTED_EDGE('',*,*,#19140,.F.); +#10783=EDGE_LOOP('',(#10779,#10780,#10781,#10782)); +#10784=FACE_OUTER_BOUND('',#10783,.F.); +#10785=ADVANCED_FACE('',(#10784),#7485,.T.); +#10786=CARTESIAN_POINT('',(-1.59E0,-4.13E0,-1.055E1)); +#10787=AXIS2_PLACEMENT_3D('',#10786,#76,#80); +#10788=PLANE('',#10787); +#10789=ORIENTED_EDGE('',*,*,#18822,.F.); +#10790=ORIENTED_EDGE('',*,*,#18766,.T.); +#10791=ORIENTED_EDGE('',*,*,#19144,.T.); +#10792=ORIENTED_EDGE('',*,*,#19145,.T.); +#10793=ORIENTED_EDGE('',*,*,#19146,.F.); +#10794=EDGE_LOOP('',(#10789,#10790,#10791,#10792,#10793)); +#10795=FACE_OUTER_BOUND('',#10794,.F.); +#10796=ADVANCED_FACE('',(#10795),#10788,.T.); +#10797=CARTESIAN_POINT('',(-9.5E-1,-4.13E0,-1.055E1)); +#10798=AXIS2_PLACEMENT_3D('',#10797,#72,#76); +#10799=PLANE('',#10798); +#10800=ORIENTED_EDGE('',*,*,#18765,.F.); +#10801=ORIENTED_EDGE('',*,*,#19147,.T.); +#10802=ORIENTED_EDGE('',*,*,#19148,.T.); +#10803=ORIENTED_EDGE('',*,*,#19144,.F.); +#10804=EDGE_LOOP('',(#10800,#10801,#10802,#10803)); +#10805=FACE_OUTER_BOUND('',#10804,.F.); +#10806=ADVANCED_FACE('',(#10805),#10799,.T.); +#10807=AXIS2_PLACEMENT_3D('',#2831,#84,#72); +#10808=PLANE('',#10807); +#10809=ORIENTED_EDGE('',*,*,#18824,.T.); +#10810=ORIENTED_EDGE('',*,*,#19149,.T.); +#10811=ORIENTED_EDGE('',*,*,#19150,.T.); +#10812=ORIENTED_EDGE('',*,*,#19147,.F.); +#10813=ORIENTED_EDGE('',*,*,#18764,.F.); +#10814=EDGE_LOOP('',(#10809,#10810,#10811,#10812,#10813)); +#10815=FACE_OUTER_BOUND('',#10814,.F.); +#10816=ADVANCED_FACE('',(#10815),#10808,.T.); +#10817=AXIS2_PLACEMENT_3D('',#2452,#80,#84); +#10818=PLANE('',#10817); +#10819=ORIENTED_EDGE('',*,*,#18823,.F.); +#10820=ORIENTED_EDGE('',*,*,#19146,.T.); +#10821=ORIENTED_EDGE('',*,*,#19151,.T.); +#10822=ORIENTED_EDGE('',*,*,#19149,.F.); +#10823=EDGE_LOOP('',(#10819,#10820,#10821,#10822)); +#10824=FACE_OUTER_BOUND('',#10823,.F.); +#10825=ADVANCED_FACE('',(#10824),#10818,.T.); +#10826=ORIENTED_EDGE('',*,*,#19150,.F.); +#10827=ORIENTED_EDGE('',*,*,#19151,.F.); +#10828=ORIENTED_EDGE('',*,*,#19145,.F.); +#10829=ORIENTED_EDGE('',*,*,#19148,.F.); +#10830=EDGE_LOOP('',(#10826,#10827,#10828,#10829)); +#10831=FACE_OUTER_BOUND('',#10830,.F.); +#10832=ADVANCED_FACE('',(#10831),#7485,.T.); +#10833=CARTESIAN_POINT('',(9.5E-1,-4.13E0,-1.055E1)); +#10834=AXIS2_PLACEMENT_3D('',#10833,#76,#80); +#10835=PLANE('',#10834); +#10836=ORIENTED_EDGE('',*,*,#18825,.F.); +#10837=ORIENTED_EDGE('',*,*,#18762,.T.); +#10838=ORIENTED_EDGE('',*,*,#19152,.T.); +#10839=ORIENTED_EDGE('',*,*,#19153,.T.); +#10840=ORIENTED_EDGE('',*,*,#19154,.F.); +#10841=EDGE_LOOP('',(#10836,#10837,#10838,#10839,#10840)); +#10842=FACE_OUTER_BOUND('',#10841,.F.); +#10843=ADVANCED_FACE('',(#10842),#10835,.T.); +#10844=CARTESIAN_POINT('',(1.59E0,-4.13E0,-1.055E1)); +#10845=AXIS2_PLACEMENT_3D('',#10844,#72,#76); +#10846=PLANE('',#10845); +#10847=ORIENTED_EDGE('',*,*,#18761,.F.); +#10848=ORIENTED_EDGE('',*,*,#19155,.T.); +#10849=ORIENTED_EDGE('',*,*,#19156,.T.); +#10850=ORIENTED_EDGE('',*,*,#19152,.F.); +#10851=EDGE_LOOP('',(#10847,#10848,#10849,#10850)); +#10852=FACE_OUTER_BOUND('',#10851,.F.); +#10853=ADVANCED_FACE('',(#10852),#10846,.T.); +#10854=AXIS2_PLACEMENT_3D('',#2845,#84,#72); +#10855=PLANE('',#10854); +#10856=ORIENTED_EDGE('',*,*,#18827,.T.); +#10857=ORIENTED_EDGE('',*,*,#19157,.T.); +#10858=ORIENTED_EDGE('',*,*,#19158,.T.); +#10859=ORIENTED_EDGE('',*,*,#19155,.F.); +#10860=ORIENTED_EDGE('',*,*,#18760,.F.); +#10861=EDGE_LOOP('',(#10856,#10857,#10858,#10859,#10860)); +#10862=FACE_OUTER_BOUND('',#10861,.F.); +#10863=ADVANCED_FACE('',(#10862),#10855,.T.); +#10864=AXIS2_PLACEMENT_3D('',#2456,#80,#84); +#10865=PLANE('',#10864); +#10866=ORIENTED_EDGE('',*,*,#18826,.F.); +#10867=ORIENTED_EDGE('',*,*,#19154,.T.); +#10868=ORIENTED_EDGE('',*,*,#19159,.T.); +#10869=ORIENTED_EDGE('',*,*,#19157,.F.); +#10870=EDGE_LOOP('',(#10866,#10867,#10868,#10869)); +#10871=FACE_OUTER_BOUND('',#10870,.F.); +#10872=ADVANCED_FACE('',(#10871),#10865,.T.); +#10873=ORIENTED_EDGE('',*,*,#19158,.F.); +#10874=ORIENTED_EDGE('',*,*,#19159,.F.); +#10875=ORIENTED_EDGE('',*,*,#19153,.F.); +#10876=ORIENTED_EDGE('',*,*,#19156,.F.); +#10877=EDGE_LOOP('',(#10873,#10874,#10875,#10876)); +#10878=FACE_OUTER_BOUND('',#10877,.F.); +#10879=ADVANCED_FACE('',(#10878),#7485,.T.); +#10880=CARTESIAN_POINT('',(3.49E0,-4.13E0,-1.055E1)); +#10881=AXIS2_PLACEMENT_3D('',#10880,#76,#80); +#10882=PLANE('',#10881); +#10883=ORIENTED_EDGE('',*,*,#18828,.F.); +#10884=ORIENTED_EDGE('',*,*,#18758,.T.); +#10885=ORIENTED_EDGE('',*,*,#19160,.T.); +#10886=ORIENTED_EDGE('',*,*,#19161,.T.); +#10887=ORIENTED_EDGE('',*,*,#19162,.F.); +#10888=EDGE_LOOP('',(#10883,#10884,#10885,#10886,#10887)); +#10889=FACE_OUTER_BOUND('',#10888,.F.); +#10890=ADVANCED_FACE('',(#10889),#10882,.T.); +#10891=CARTESIAN_POINT('',(4.13E0,-4.13E0,-1.055E1)); +#10892=AXIS2_PLACEMENT_3D('',#10891,#72,#76); +#10893=PLANE('',#10892); +#10894=ORIENTED_EDGE('',*,*,#18757,.F.); +#10895=ORIENTED_EDGE('',*,*,#19163,.T.); +#10896=ORIENTED_EDGE('',*,*,#19164,.T.); +#10897=ORIENTED_EDGE('',*,*,#19160,.F.); +#10898=EDGE_LOOP('',(#10894,#10895,#10896,#10897)); +#10899=FACE_OUTER_BOUND('',#10898,.F.); +#10900=ADVANCED_FACE('',(#10899),#10893,.T.); +#10901=AXIS2_PLACEMENT_3D('',#2859,#84,#72); +#10902=PLANE('',#10901); +#10903=ORIENTED_EDGE('',*,*,#18830,.T.); +#10904=ORIENTED_EDGE('',*,*,#19165,.T.); +#10905=ORIENTED_EDGE('',*,*,#19166,.T.); +#10906=ORIENTED_EDGE('',*,*,#19163,.F.); +#10907=ORIENTED_EDGE('',*,*,#18756,.F.); +#10908=EDGE_LOOP('',(#10903,#10904,#10905,#10906,#10907)); +#10909=FACE_OUTER_BOUND('',#10908,.F.); +#10910=ADVANCED_FACE('',(#10909),#10902,.T.); +#10911=AXIS2_PLACEMENT_3D('',#2460,#80,#84); +#10912=PLANE('',#10911); +#10913=ORIENTED_EDGE('',*,*,#18829,.F.); +#10914=ORIENTED_EDGE('',*,*,#19162,.T.); +#10915=ORIENTED_EDGE('',*,*,#19167,.T.); +#10916=ORIENTED_EDGE('',*,*,#19165,.F.); +#10917=EDGE_LOOP('',(#10913,#10914,#10915,#10916)); +#10918=FACE_OUTER_BOUND('',#10917,.F.); +#10919=ADVANCED_FACE('',(#10918),#10912,.T.); +#10920=ORIENTED_EDGE('',*,*,#19166,.F.); +#10921=ORIENTED_EDGE('',*,*,#19167,.F.); +#10922=ORIENTED_EDGE('',*,*,#19161,.F.); +#10923=ORIENTED_EDGE('',*,*,#19164,.F.); +#10924=EDGE_LOOP('',(#10920,#10921,#10922,#10923)); +#10925=FACE_OUTER_BOUND('',#10924,.F.); +#10926=ADVANCED_FACE('',(#10925),#7485,.T.); +#10927=CARTESIAN_POINT('',(6.03E0,-4.13E0,-1.055E1)); +#10928=AXIS2_PLACEMENT_3D('',#10927,#76,#80); +#10929=PLANE('',#10928); +#10930=ORIENTED_EDGE('',*,*,#18831,.F.); +#10931=ORIENTED_EDGE('',*,*,#18754,.T.); +#10932=ORIENTED_EDGE('',*,*,#19168,.T.); +#10933=ORIENTED_EDGE('',*,*,#19169,.T.); +#10934=ORIENTED_EDGE('',*,*,#19170,.F.); +#10935=EDGE_LOOP('',(#10930,#10931,#10932,#10933,#10934)); +#10936=FACE_OUTER_BOUND('',#10935,.F.); +#10937=ADVANCED_FACE('',(#10936),#10929,.T.); +#10938=CARTESIAN_POINT('',(6.67E0,-4.13E0,-1.055E1)); +#10939=AXIS2_PLACEMENT_3D('',#10938,#72,#76); +#10940=PLANE('',#10939); +#10941=ORIENTED_EDGE('',*,*,#18753,.F.); +#10942=ORIENTED_EDGE('',*,*,#19171,.T.); +#10943=ORIENTED_EDGE('',*,*,#19172,.T.); +#10944=ORIENTED_EDGE('',*,*,#19168,.F.); +#10945=EDGE_LOOP('',(#10941,#10942,#10943,#10944)); +#10946=FACE_OUTER_BOUND('',#10945,.F.); +#10947=ADVANCED_FACE('',(#10946),#10940,.T.); +#10948=AXIS2_PLACEMENT_3D('',#2873,#84,#72); +#10949=PLANE('',#10948); +#10950=ORIENTED_EDGE('',*,*,#18833,.T.); +#10951=ORIENTED_EDGE('',*,*,#19173,.T.); +#10952=ORIENTED_EDGE('',*,*,#19174,.T.); +#10953=ORIENTED_EDGE('',*,*,#19171,.F.); +#10954=ORIENTED_EDGE('',*,*,#18752,.F.); +#10955=EDGE_LOOP('',(#10950,#10951,#10952,#10953,#10954)); +#10956=FACE_OUTER_BOUND('',#10955,.F.); +#10957=ADVANCED_FACE('',(#10956),#10949,.T.); +#10958=AXIS2_PLACEMENT_3D('',#2464,#80,#84); +#10959=PLANE('',#10958); +#10960=ORIENTED_EDGE('',*,*,#18832,.F.); +#10961=ORIENTED_EDGE('',*,*,#19170,.T.); +#10962=ORIENTED_EDGE('',*,*,#19175,.T.); +#10963=ORIENTED_EDGE('',*,*,#19173,.F.); +#10964=EDGE_LOOP('',(#10960,#10961,#10962,#10963)); +#10965=FACE_OUTER_BOUND('',#10964,.F.); +#10966=ADVANCED_FACE('',(#10965),#10959,.T.); +#10967=ORIENTED_EDGE('',*,*,#19174,.F.); +#10968=ORIENTED_EDGE('',*,*,#19175,.F.); +#10969=ORIENTED_EDGE('',*,*,#19169,.F.); +#10970=ORIENTED_EDGE('',*,*,#19172,.F.); +#10971=EDGE_LOOP('',(#10967,#10968,#10969,#10970)); +#10972=FACE_OUTER_BOUND('',#10971,.F.); +#10973=ADVANCED_FACE('',(#10972),#7485,.T.); +#10974=CARTESIAN_POINT('',(8.57E0,-4.13E0,-1.055E1)); +#10975=AXIS2_PLACEMENT_3D('',#10974,#76,#80); +#10976=PLANE('',#10975); +#10977=ORIENTED_EDGE('',*,*,#18834,.F.); +#10978=ORIENTED_EDGE('',*,*,#18750,.T.); +#10979=ORIENTED_EDGE('',*,*,#19176,.T.); +#10980=ORIENTED_EDGE('',*,*,#19177,.T.); +#10981=ORIENTED_EDGE('',*,*,#19178,.F.); +#10982=EDGE_LOOP('',(#10977,#10978,#10979,#10980,#10981)); +#10983=FACE_OUTER_BOUND('',#10982,.F.); +#10984=ADVANCED_FACE('',(#10983),#10976,.T.); +#10985=CARTESIAN_POINT('',(9.21E0,-4.13E0,-1.055E1)); +#10986=AXIS2_PLACEMENT_3D('',#10985,#72,#76); +#10987=PLANE('',#10986); +#10988=ORIENTED_EDGE('',*,*,#18749,.F.); +#10989=ORIENTED_EDGE('',*,*,#19179,.T.); +#10990=ORIENTED_EDGE('',*,*,#19180,.T.); +#10991=ORIENTED_EDGE('',*,*,#19176,.F.); +#10992=EDGE_LOOP('',(#10988,#10989,#10990,#10991)); +#10993=FACE_OUTER_BOUND('',#10992,.F.); +#10994=ADVANCED_FACE('',(#10993),#10987,.T.); +#10995=AXIS2_PLACEMENT_3D('',#2887,#84,#72); +#10996=PLANE('',#10995); +#10997=ORIENTED_EDGE('',*,*,#18836,.T.); +#10998=ORIENTED_EDGE('',*,*,#19181,.T.); +#10999=ORIENTED_EDGE('',*,*,#19182,.T.); +#11000=ORIENTED_EDGE('',*,*,#19179,.F.); +#11001=ORIENTED_EDGE('',*,*,#18748,.F.); +#11002=EDGE_LOOP('',(#10997,#10998,#10999,#11000,#11001)); +#11003=FACE_OUTER_BOUND('',#11002,.F.); +#11004=ADVANCED_FACE('',(#11003),#10996,.T.); +#11005=AXIS2_PLACEMENT_3D('',#2468,#80,#84); +#11006=PLANE('',#11005); +#11007=ORIENTED_EDGE('',*,*,#18835,.F.); +#11008=ORIENTED_EDGE('',*,*,#19178,.T.); +#11009=ORIENTED_EDGE('',*,*,#19183,.T.); +#11010=ORIENTED_EDGE('',*,*,#19181,.F.); +#11011=EDGE_LOOP('',(#11007,#11008,#11009,#11010)); +#11012=FACE_OUTER_BOUND('',#11011,.F.); +#11013=ADVANCED_FACE('',(#11012),#11006,.T.); +#11014=ORIENTED_EDGE('',*,*,#19182,.F.); +#11015=ORIENTED_EDGE('',*,*,#19183,.F.); +#11016=ORIENTED_EDGE('',*,*,#19177,.F.); +#11017=ORIENTED_EDGE('',*,*,#19180,.F.); +#11018=EDGE_LOOP('',(#11014,#11015,#11016,#11017)); +#11019=FACE_OUTER_BOUND('',#11018,.F.); +#11020=ADVANCED_FACE('',(#11019),#7485,.T.); +#11021=CARTESIAN_POINT('',(1.111E1,-4.13E0,-1.055E1)); +#11022=AXIS2_PLACEMENT_3D('',#11021,#76,#80); +#11023=PLANE('',#11022); +#11024=ORIENTED_EDGE('',*,*,#18837,.F.); +#11025=ORIENTED_EDGE('',*,*,#18746,.T.); +#11026=ORIENTED_EDGE('',*,*,#19184,.T.); +#11027=ORIENTED_EDGE('',*,*,#19185,.T.); +#11028=ORIENTED_EDGE('',*,*,#19186,.F.); +#11029=EDGE_LOOP('',(#11024,#11025,#11026,#11027,#11028)); +#11030=FACE_OUTER_BOUND('',#11029,.F.); +#11031=ADVANCED_FACE('',(#11030),#11023,.T.); +#11032=CARTESIAN_POINT('',(1.175E1,-4.13E0,-1.055E1)); +#11033=AXIS2_PLACEMENT_3D('',#11032,#72,#76); +#11034=PLANE('',#11033); +#11035=ORIENTED_EDGE('',*,*,#18745,.F.); +#11036=ORIENTED_EDGE('',*,*,#19187,.T.); +#11037=ORIENTED_EDGE('',*,*,#19188,.T.); +#11038=ORIENTED_EDGE('',*,*,#19184,.F.); +#11039=EDGE_LOOP('',(#11035,#11036,#11037,#11038)); +#11040=FACE_OUTER_BOUND('',#11039,.F.); +#11041=ADVANCED_FACE('',(#11040),#11034,.T.); +#11042=AXIS2_PLACEMENT_3D('',#2901,#84,#72); +#11043=PLANE('',#11042); +#11044=ORIENTED_EDGE('',*,*,#18839,.T.); +#11045=ORIENTED_EDGE('',*,*,#19189,.T.); +#11046=ORIENTED_EDGE('',*,*,#19190,.T.); +#11047=ORIENTED_EDGE('',*,*,#19187,.F.); +#11048=ORIENTED_EDGE('',*,*,#18744,.F.); +#11049=EDGE_LOOP('',(#11044,#11045,#11046,#11047,#11048)); +#11050=FACE_OUTER_BOUND('',#11049,.F.); +#11051=ADVANCED_FACE('',(#11050),#11043,.T.); +#11052=AXIS2_PLACEMENT_3D('',#2472,#80,#84); +#11053=PLANE('',#11052); +#11054=ORIENTED_EDGE('',*,*,#18838,.F.); +#11055=ORIENTED_EDGE('',*,*,#19186,.T.); +#11056=ORIENTED_EDGE('',*,*,#19191,.T.); +#11057=ORIENTED_EDGE('',*,*,#19189,.F.); +#11058=EDGE_LOOP('',(#11054,#11055,#11056,#11057)); +#11059=FACE_OUTER_BOUND('',#11058,.F.); +#11060=ADVANCED_FACE('',(#11059),#11053,.T.); +#11061=ORIENTED_EDGE('',*,*,#19190,.F.); +#11062=ORIENTED_EDGE('',*,*,#19191,.F.); +#11063=ORIENTED_EDGE('',*,*,#19185,.F.); +#11064=ORIENTED_EDGE('',*,*,#19188,.F.); +#11065=EDGE_LOOP('',(#11061,#11062,#11063,#11064)); +#11066=FACE_OUTER_BOUND('',#11065,.F.); +#11067=ADVANCED_FACE('',(#11066),#7485,.T.); +#11068=CARTESIAN_POINT('',(1.365E1,-4.13E0,-1.055E1)); +#11069=AXIS2_PLACEMENT_3D('',#11068,#76,#80); +#11070=PLANE('',#11069); +#11071=ORIENTED_EDGE('',*,*,#18840,.F.); +#11072=ORIENTED_EDGE('',*,*,#18742,.T.); +#11073=ORIENTED_EDGE('',*,*,#19192,.T.); +#11074=ORIENTED_EDGE('',*,*,#19193,.T.); +#11075=ORIENTED_EDGE('',*,*,#19194,.F.); +#11076=EDGE_LOOP('',(#11071,#11072,#11073,#11074,#11075)); +#11077=FACE_OUTER_BOUND('',#11076,.F.); +#11078=ADVANCED_FACE('',(#11077),#11070,.T.); +#11079=CARTESIAN_POINT('',(1.429E1,-4.13E0,-1.055E1)); +#11080=AXIS2_PLACEMENT_3D('',#11079,#72,#76); +#11081=PLANE('',#11080); +#11082=ORIENTED_EDGE('',*,*,#18741,.F.); +#11083=ORIENTED_EDGE('',*,*,#19195,.T.); +#11084=ORIENTED_EDGE('',*,*,#19196,.T.); +#11085=ORIENTED_EDGE('',*,*,#19192,.F.); +#11086=EDGE_LOOP('',(#11082,#11083,#11084,#11085)); +#11087=FACE_OUTER_BOUND('',#11086,.F.); +#11088=ADVANCED_FACE('',(#11087),#11081,.T.); +#11089=AXIS2_PLACEMENT_3D('',#2915,#84,#72); +#11090=PLANE('',#11089); +#11091=ORIENTED_EDGE('',*,*,#18842,.T.); +#11092=ORIENTED_EDGE('',*,*,#19197,.T.); +#11093=ORIENTED_EDGE('',*,*,#19198,.T.); +#11094=ORIENTED_EDGE('',*,*,#19195,.F.); +#11095=ORIENTED_EDGE('',*,*,#18740,.F.); +#11096=EDGE_LOOP('',(#11091,#11092,#11093,#11094,#11095)); +#11097=FACE_OUTER_BOUND('',#11096,.F.); +#11098=ADVANCED_FACE('',(#11097),#11090,.T.); +#11099=AXIS2_PLACEMENT_3D('',#2476,#80,#84); +#11100=PLANE('',#11099); +#11101=ORIENTED_EDGE('',*,*,#18841,.F.); +#11102=ORIENTED_EDGE('',*,*,#19194,.T.); +#11103=ORIENTED_EDGE('',*,*,#19199,.T.); +#11104=ORIENTED_EDGE('',*,*,#19197,.F.); +#11105=EDGE_LOOP('',(#11101,#11102,#11103,#11104)); +#11106=FACE_OUTER_BOUND('',#11105,.F.); +#11107=ADVANCED_FACE('',(#11106),#11100,.T.); +#11108=ORIENTED_EDGE('',*,*,#19198,.F.); +#11109=ORIENTED_EDGE('',*,*,#19199,.F.); +#11110=ORIENTED_EDGE('',*,*,#19193,.F.); +#11111=ORIENTED_EDGE('',*,*,#19196,.F.); +#11112=EDGE_LOOP('',(#11108,#11109,#11110,#11111)); +#11113=FACE_OUTER_BOUND('',#11112,.F.); +#11114=ADVANCED_FACE('',(#11113),#7485,.T.); +#11115=CARTESIAN_POINT('',(1.619E1,-4.13E0,-1.055E1)); +#11116=AXIS2_PLACEMENT_3D('',#11115,#76,#80); +#11117=PLANE('',#11116); +#11118=ORIENTED_EDGE('',*,*,#18843,.F.); +#11119=ORIENTED_EDGE('',*,*,#18738,.T.); +#11120=ORIENTED_EDGE('',*,*,#19200,.T.); +#11121=ORIENTED_EDGE('',*,*,#19201,.T.); +#11122=ORIENTED_EDGE('',*,*,#19202,.F.); +#11123=EDGE_LOOP('',(#11118,#11119,#11120,#11121,#11122)); +#11124=FACE_OUTER_BOUND('',#11123,.F.); +#11125=ADVANCED_FACE('',(#11124),#11117,.T.); +#11126=CARTESIAN_POINT('',(1.683E1,-4.13E0,-1.055E1)); +#11127=AXIS2_PLACEMENT_3D('',#11126,#72,#76); +#11128=PLANE('',#11127); +#11129=ORIENTED_EDGE('',*,*,#18737,.F.); +#11130=ORIENTED_EDGE('',*,*,#19203,.T.); +#11131=ORIENTED_EDGE('',*,*,#19204,.T.); +#11132=ORIENTED_EDGE('',*,*,#19200,.F.); +#11133=EDGE_LOOP('',(#11129,#11130,#11131,#11132)); +#11134=FACE_OUTER_BOUND('',#11133,.F.); +#11135=ADVANCED_FACE('',(#11134),#11128,.T.); +#11136=AXIS2_PLACEMENT_3D('',#2929,#84,#72); +#11137=PLANE('',#11136); +#11138=ORIENTED_EDGE('',*,*,#18845,.T.); +#11139=ORIENTED_EDGE('',*,*,#19205,.T.); +#11140=ORIENTED_EDGE('',*,*,#19206,.T.); +#11141=ORIENTED_EDGE('',*,*,#19203,.F.); +#11142=ORIENTED_EDGE('',*,*,#18736,.F.); +#11143=EDGE_LOOP('',(#11138,#11139,#11140,#11141,#11142)); +#11144=FACE_OUTER_BOUND('',#11143,.F.); +#11145=ADVANCED_FACE('',(#11144),#11137,.T.); +#11146=AXIS2_PLACEMENT_3D('',#2480,#80,#84); +#11147=PLANE('',#11146); +#11148=ORIENTED_EDGE('',*,*,#18844,.F.); +#11149=ORIENTED_EDGE('',*,*,#19202,.T.); +#11150=ORIENTED_EDGE('',*,*,#19207,.T.); +#11151=ORIENTED_EDGE('',*,*,#19205,.F.); +#11152=EDGE_LOOP('',(#11148,#11149,#11150,#11151)); +#11153=FACE_OUTER_BOUND('',#11152,.F.); +#11154=ADVANCED_FACE('',(#11153),#11147,.T.); +#11155=ORIENTED_EDGE('',*,*,#19206,.F.); +#11156=ORIENTED_EDGE('',*,*,#19207,.F.); +#11157=ORIENTED_EDGE('',*,*,#19201,.F.); +#11158=ORIENTED_EDGE('',*,*,#19204,.F.); +#11159=EDGE_LOOP('',(#11155,#11156,#11157,#11158)); +#11160=FACE_OUTER_BOUND('',#11159,.F.); +#11161=ADVANCED_FACE('',(#11160),#7485,.T.); +#11162=CARTESIAN_POINT('',(1.873E1,-4.13E0,-1.055E1)); +#11163=AXIS2_PLACEMENT_3D('',#11162,#76,#80); +#11164=PLANE('',#11163); +#11165=ORIENTED_EDGE('',*,*,#18846,.F.); +#11166=ORIENTED_EDGE('',*,*,#18734,.T.); +#11167=ORIENTED_EDGE('',*,*,#19208,.T.); +#11168=ORIENTED_EDGE('',*,*,#19209,.T.); +#11169=ORIENTED_EDGE('',*,*,#19210,.F.); +#11170=EDGE_LOOP('',(#11165,#11166,#11167,#11168,#11169)); +#11171=FACE_OUTER_BOUND('',#11170,.F.); +#11172=ADVANCED_FACE('',(#11171),#11164,.T.); +#11173=CARTESIAN_POINT('',(1.937E1,-4.13E0,-1.055E1)); +#11174=AXIS2_PLACEMENT_3D('',#11173,#72,#76); +#11175=PLANE('',#11174); +#11176=ORIENTED_EDGE('',*,*,#18733,.F.); +#11177=ORIENTED_EDGE('',*,*,#19211,.T.); +#11178=ORIENTED_EDGE('',*,*,#19212,.T.); +#11179=ORIENTED_EDGE('',*,*,#19208,.F.); +#11180=EDGE_LOOP('',(#11176,#11177,#11178,#11179)); +#11181=FACE_OUTER_BOUND('',#11180,.F.); +#11182=ADVANCED_FACE('',(#11181),#11175,.T.); +#11183=AXIS2_PLACEMENT_3D('',#2943,#84,#72); +#11184=PLANE('',#11183); +#11185=ORIENTED_EDGE('',*,*,#18848,.T.); +#11186=ORIENTED_EDGE('',*,*,#19213,.T.); +#11187=ORIENTED_EDGE('',*,*,#19214,.T.); +#11188=ORIENTED_EDGE('',*,*,#19211,.F.); +#11189=ORIENTED_EDGE('',*,*,#18732,.F.); +#11190=EDGE_LOOP('',(#11185,#11186,#11187,#11188,#11189)); +#11191=FACE_OUTER_BOUND('',#11190,.F.); +#11192=ADVANCED_FACE('',(#11191),#11184,.T.); +#11193=AXIS2_PLACEMENT_3D('',#2484,#80,#84); +#11194=PLANE('',#11193); +#11195=ORIENTED_EDGE('',*,*,#18847,.F.); +#11196=ORIENTED_EDGE('',*,*,#19210,.T.); +#11197=ORIENTED_EDGE('',*,*,#19215,.T.); +#11198=ORIENTED_EDGE('',*,*,#19213,.F.); +#11199=EDGE_LOOP('',(#11195,#11196,#11197,#11198)); +#11200=FACE_OUTER_BOUND('',#11199,.F.); +#11201=ADVANCED_FACE('',(#11200),#11194,.T.); +#11202=ORIENTED_EDGE('',*,*,#19214,.F.); +#11203=ORIENTED_EDGE('',*,*,#19215,.F.); +#11204=ORIENTED_EDGE('',*,*,#19209,.F.); +#11205=ORIENTED_EDGE('',*,*,#19212,.F.); +#11206=EDGE_LOOP('',(#11202,#11203,#11204,#11205)); +#11207=FACE_OUTER_BOUND('',#11206,.F.); +#11208=ADVANCED_FACE('',(#11207),#7485,.T.); +#11209=CARTESIAN_POINT('',(2.127E1,-4.13E0,-1.055E1)); +#11210=AXIS2_PLACEMENT_3D('',#11209,#76,#80); +#11211=PLANE('',#11210); +#11212=ORIENTED_EDGE('',*,*,#18849,.F.); +#11213=ORIENTED_EDGE('',*,*,#18730,.T.); +#11214=ORIENTED_EDGE('',*,*,#19216,.T.); +#11215=ORIENTED_EDGE('',*,*,#19217,.T.); +#11216=ORIENTED_EDGE('',*,*,#19218,.F.); +#11217=EDGE_LOOP('',(#11212,#11213,#11214,#11215,#11216)); +#11218=FACE_OUTER_BOUND('',#11217,.F.); +#11219=ADVANCED_FACE('',(#11218),#11211,.T.); +#11220=CARTESIAN_POINT('',(2.191E1,-4.13E0,-1.055E1)); +#11221=AXIS2_PLACEMENT_3D('',#11220,#72,#76); +#11222=PLANE('',#11221); +#11223=ORIENTED_EDGE('',*,*,#18729,.F.); +#11224=ORIENTED_EDGE('',*,*,#19219,.T.); +#11225=ORIENTED_EDGE('',*,*,#19220,.T.); +#11226=ORIENTED_EDGE('',*,*,#19216,.F.); +#11227=EDGE_LOOP('',(#11223,#11224,#11225,#11226)); +#11228=FACE_OUTER_BOUND('',#11227,.F.); +#11229=ADVANCED_FACE('',(#11228),#11222,.T.); +#11230=AXIS2_PLACEMENT_3D('',#2957,#84,#72); +#11231=PLANE('',#11230); +#11232=ORIENTED_EDGE('',*,*,#18851,.T.); +#11233=ORIENTED_EDGE('',*,*,#19221,.T.); +#11234=ORIENTED_EDGE('',*,*,#19222,.T.); +#11235=ORIENTED_EDGE('',*,*,#19219,.F.); +#11236=ORIENTED_EDGE('',*,*,#18728,.F.); +#11237=EDGE_LOOP('',(#11232,#11233,#11234,#11235,#11236)); +#11238=FACE_OUTER_BOUND('',#11237,.F.); +#11239=ADVANCED_FACE('',(#11238),#11231,.T.); +#11240=AXIS2_PLACEMENT_3D('',#2488,#80,#84); +#11241=PLANE('',#11240); +#11242=ORIENTED_EDGE('',*,*,#18850,.F.); +#11243=ORIENTED_EDGE('',*,*,#19218,.T.); +#11244=ORIENTED_EDGE('',*,*,#19223,.T.); +#11245=ORIENTED_EDGE('',*,*,#19221,.F.); +#11246=EDGE_LOOP('',(#11242,#11243,#11244,#11245)); +#11247=FACE_OUTER_BOUND('',#11246,.F.); +#11248=ADVANCED_FACE('',(#11247),#11241,.T.); +#11249=ORIENTED_EDGE('',*,*,#19222,.F.); +#11250=ORIENTED_EDGE('',*,*,#19223,.F.); +#11251=ORIENTED_EDGE('',*,*,#19217,.F.); +#11252=ORIENTED_EDGE('',*,*,#19220,.F.); +#11253=EDGE_LOOP('',(#11249,#11250,#11251,#11252)); +#11254=FACE_OUTER_BOUND('',#11253,.F.); +#11255=ADVANCED_FACE('',(#11254),#7485,.T.); +#11256=CARTESIAN_POINT('',(2.381E1,-4.13E0,-1.055E1)); +#11257=AXIS2_PLACEMENT_3D('',#11256,#76,#80); +#11258=PLANE('',#11257); +#11259=ORIENTED_EDGE('',*,*,#18852,.F.); +#11260=ORIENTED_EDGE('',*,*,#18726,.T.); +#11261=ORIENTED_EDGE('',*,*,#19224,.T.); +#11262=ORIENTED_EDGE('',*,*,#19225,.T.); +#11263=ORIENTED_EDGE('',*,*,#19226,.F.); +#11264=EDGE_LOOP('',(#11259,#11260,#11261,#11262,#11263)); +#11265=FACE_OUTER_BOUND('',#11264,.F.); +#11266=ADVANCED_FACE('',(#11265),#11258,.T.); +#11267=CARTESIAN_POINT('',(2.445E1,-4.13E0,-1.055E1)); +#11268=AXIS2_PLACEMENT_3D('',#11267,#72,#76); +#11269=PLANE('',#11268); +#11270=ORIENTED_EDGE('',*,*,#18725,.F.); +#11271=ORIENTED_EDGE('',*,*,#19227,.T.); +#11272=ORIENTED_EDGE('',*,*,#19228,.T.); +#11273=ORIENTED_EDGE('',*,*,#19224,.F.); +#11274=EDGE_LOOP('',(#11270,#11271,#11272,#11273)); +#11275=FACE_OUTER_BOUND('',#11274,.F.); +#11276=ADVANCED_FACE('',(#11275),#11269,.T.); +#11277=AXIS2_PLACEMENT_3D('',#2971,#84,#72); +#11278=PLANE('',#11277); +#11279=ORIENTED_EDGE('',*,*,#18854,.T.); +#11280=ORIENTED_EDGE('',*,*,#19229,.T.); +#11281=ORIENTED_EDGE('',*,*,#19230,.T.); +#11282=ORIENTED_EDGE('',*,*,#19227,.F.); +#11283=ORIENTED_EDGE('',*,*,#18724,.F.); +#11284=EDGE_LOOP('',(#11279,#11280,#11281,#11282,#11283)); +#11285=FACE_OUTER_BOUND('',#11284,.F.); +#11286=ADVANCED_FACE('',(#11285),#11278,.T.); +#11287=AXIS2_PLACEMENT_3D('',#2492,#80,#84); +#11288=PLANE('',#11287); +#11289=ORIENTED_EDGE('',*,*,#18853,.F.); +#11290=ORIENTED_EDGE('',*,*,#19226,.T.); +#11291=ORIENTED_EDGE('',*,*,#19231,.T.); +#11292=ORIENTED_EDGE('',*,*,#19229,.F.); +#11293=EDGE_LOOP('',(#11289,#11290,#11291,#11292)); +#11294=FACE_OUTER_BOUND('',#11293,.F.); +#11295=ADVANCED_FACE('',(#11294),#11288,.T.); +#11296=ORIENTED_EDGE('',*,*,#19230,.F.); +#11297=ORIENTED_EDGE('',*,*,#19231,.F.); +#11298=ORIENTED_EDGE('',*,*,#19225,.F.); +#11299=ORIENTED_EDGE('',*,*,#19228,.F.); +#11300=EDGE_LOOP('',(#11296,#11297,#11298,#11299)); +#11301=FACE_OUTER_BOUND('',#11300,.F.); +#11302=ADVANCED_FACE('',(#11301),#7485,.T.); +#11303=CARTESIAN_POINT('',(2.635E1,-4.13E0,-1.055E1)); +#11304=AXIS2_PLACEMENT_3D('',#11303,#76,#80); +#11305=PLANE('',#11304); +#11306=ORIENTED_EDGE('',*,*,#18855,.F.); +#11307=ORIENTED_EDGE('',*,*,#18722,.T.); +#11308=ORIENTED_EDGE('',*,*,#19232,.T.); +#11309=ORIENTED_EDGE('',*,*,#19233,.T.); +#11310=ORIENTED_EDGE('',*,*,#19234,.F.); +#11311=EDGE_LOOP('',(#11306,#11307,#11308,#11309,#11310)); +#11312=FACE_OUTER_BOUND('',#11311,.F.); +#11313=ADVANCED_FACE('',(#11312),#11305,.T.); +#11314=CARTESIAN_POINT('',(2.699E1,-4.13E0,-1.055E1)); +#11315=AXIS2_PLACEMENT_3D('',#11314,#72,#76); +#11316=PLANE('',#11315); +#11317=ORIENTED_EDGE('',*,*,#18721,.F.); +#11318=ORIENTED_EDGE('',*,*,#19235,.T.); +#11319=ORIENTED_EDGE('',*,*,#19236,.T.); +#11320=ORIENTED_EDGE('',*,*,#19232,.F.); +#11321=EDGE_LOOP('',(#11317,#11318,#11319,#11320)); +#11322=FACE_OUTER_BOUND('',#11321,.F.); +#11323=ADVANCED_FACE('',(#11322),#11316,.T.); +#11324=AXIS2_PLACEMENT_3D('',#2985,#84,#72); +#11325=PLANE('',#11324); +#11326=ORIENTED_EDGE('',*,*,#18857,.T.); +#11327=ORIENTED_EDGE('',*,*,#19237,.T.); +#11328=ORIENTED_EDGE('',*,*,#19238,.T.); +#11329=ORIENTED_EDGE('',*,*,#19235,.F.); +#11330=ORIENTED_EDGE('',*,*,#18720,.F.); +#11331=EDGE_LOOP('',(#11326,#11327,#11328,#11329,#11330)); +#11332=FACE_OUTER_BOUND('',#11331,.F.); +#11333=ADVANCED_FACE('',(#11332),#11325,.T.); +#11334=AXIS2_PLACEMENT_3D('',#2496,#80,#84); +#11335=PLANE('',#11334); +#11336=ORIENTED_EDGE('',*,*,#18856,.F.); +#11337=ORIENTED_EDGE('',*,*,#19234,.T.); +#11338=ORIENTED_EDGE('',*,*,#19239,.T.); +#11339=ORIENTED_EDGE('',*,*,#19237,.F.); +#11340=EDGE_LOOP('',(#11336,#11337,#11338,#11339)); +#11341=FACE_OUTER_BOUND('',#11340,.F.); +#11342=ADVANCED_FACE('',(#11341),#11335,.T.); +#11343=ORIENTED_EDGE('',*,*,#19238,.F.); +#11344=ORIENTED_EDGE('',*,*,#19239,.F.); +#11345=ORIENTED_EDGE('',*,*,#19233,.F.); +#11346=ORIENTED_EDGE('',*,*,#19236,.F.); +#11347=EDGE_LOOP('',(#11343,#11344,#11345,#11346)); +#11348=FACE_OUTER_BOUND('',#11347,.F.); +#11349=ADVANCED_FACE('',(#11348),#7485,.T.); +#11350=CARTESIAN_POINT('',(2.889E1,-4.13E0,-1.055E1)); +#11351=AXIS2_PLACEMENT_3D('',#11350,#76,#80); +#11352=PLANE('',#11351); +#11353=ORIENTED_EDGE('',*,*,#18858,.F.); +#11354=ORIENTED_EDGE('',*,*,#18718,.T.); +#11355=ORIENTED_EDGE('',*,*,#19240,.T.); +#11356=ORIENTED_EDGE('',*,*,#19241,.T.); +#11357=ORIENTED_EDGE('',*,*,#19242,.F.); +#11358=EDGE_LOOP('',(#11353,#11354,#11355,#11356,#11357)); +#11359=FACE_OUTER_BOUND('',#11358,.F.); +#11360=ADVANCED_FACE('',(#11359),#11352,.T.); +#11361=CARTESIAN_POINT('',(2.953E1,-4.13E0,-1.055E1)); +#11362=AXIS2_PLACEMENT_3D('',#11361,#72,#76); +#11363=PLANE('',#11362); +#11364=ORIENTED_EDGE('',*,*,#18717,.F.); +#11365=ORIENTED_EDGE('',*,*,#18800,.T.); +#11366=ORIENTED_EDGE('',*,*,#19243,.T.); +#11367=ORIENTED_EDGE('',*,*,#19240,.F.); +#11368=EDGE_LOOP('',(#11364,#11365,#11366,#11367)); +#11369=FACE_OUTER_BOUND('',#11368,.F.); +#11370=ADVANCED_FACE('',(#11369),#11363,.T.); +#11371=ORIENTED_EDGE('',*,*,#18799,.F.); +#11372=ORIENTED_EDGE('',*,*,#19244,.F.); +#11373=ORIENTED_EDGE('',*,*,#19241,.F.); +#11374=ORIENTED_EDGE('',*,*,#19243,.F.); +#11375=EDGE_LOOP('',(#11371,#11372,#11373,#11374)); +#11376=FACE_OUTER_BOUND('',#11375,.F.); +#11377=ADVANCED_FACE('',(#11376),#7485,.T.); +#11378=AXIS2_PLACEMENT_3D('',#2500,#80,#84); +#11379=PLANE('',#11378); +#11380=ORIENTED_EDGE('',*,*,#18859,.F.); +#11381=ORIENTED_EDGE('',*,*,#19242,.T.); +#11382=ORIENTED_EDGE('',*,*,#19244,.T.); +#11383=ORIENTED_EDGE('',*,*,#18798,.F.); +#11384=EDGE_LOOP('',(#11380,#11381,#11382,#11383)); +#11385=FACE_OUTER_BOUND('',#11384,.F.); +#11386=ADVANCED_FACE('',(#11385),#11379,.T.); +#11387=AXIS2_PLACEMENT_3D('',#78,#80,#76); +#11388=PLANE('',#11387); +#11389=ORIENTED_EDGE('',*,*,#17589,.T.); +#11390=ORIENTED_EDGE('',*,*,#18005,.T.); +#11391=ORIENTED_EDGE('',*,*,#18013,.F.); +#11392=ORIENTED_EDGE('',*,*,#18704,.F.); +#11393=EDGE_LOOP('',(#11389,#11390,#11391,#11392)); +#11394=FACE_OUTER_BOUND('',#11393,.F.); +#11395=ADVANCED_FACE('',(#11394),#11388,.T.); +#11396=CARTESIAN_POINT('',(3.969E1,4.13E0,-1.055E1)); +#11397=AXIS2_PLACEMENT_3D('',#11396,#84,#72); +#11398=PLANE('',#11397); +#11399=ORIENTED_EDGE('',*,*,#18112,.T.); +#11400=ORIENTED_EDGE('',*,*,#19245,.T.); +#11401=ORIENTED_EDGE('',*,*,#19246,.T.); +#11402=ORIENTED_EDGE('',*,*,#19247,.F.); +#11403=ORIENTED_EDGE('',*,*,#18180,.F.); +#11404=EDGE_LOOP('',(#11399,#11400,#11401,#11402,#11403)); +#11405=FACE_OUTER_BOUND('',#11404,.F.); +#11406=ADVANCED_FACE('',(#11405),#11398,.T.); +#11407=CARTESIAN_POINT('',(3.905E1,4.13E0,-1.055E1)); +#11408=AXIS2_PLACEMENT_3D('',#11407,#80,#84); +#11409=PLANE('',#11408); +#11410=ORIENTED_EDGE('',*,*,#18111,.F.); +#11411=ORIENTED_EDGE('',*,*,#19248,.T.); +#11412=ORIENTED_EDGE('',*,*,#19249,.T.); +#11413=ORIENTED_EDGE('',*,*,#19245,.F.); +#11414=EDGE_LOOP('',(#11410,#11411,#11412,#11413)); +#11415=FACE_OUTER_BOUND('',#11414,.F.); +#11416=ADVANCED_FACE('',(#11415),#11409,.T.); +#11417=AXIS2_PLACEMENT_3D('',#3012,#76,#80); +#11418=PLANE('',#11417); +#11419=ORIENTED_EDGE('',*,*,#18110,.F.); +#11420=ORIENTED_EDGE('',*,*,#18182,.T.); +#11421=ORIENTED_EDGE('',*,*,#19250,.T.); +#11422=ORIENTED_EDGE('',*,*,#19251,.T.); +#11423=ORIENTED_EDGE('',*,*,#19248,.F.); +#11424=EDGE_LOOP('',(#11419,#11420,#11421,#11422,#11423)); +#11425=FACE_OUTER_BOUND('',#11424,.F.); +#11426=ADVANCED_FACE('',(#11425),#11418,.T.); +#11427=AXIS2_PLACEMENT_3D('',#1473,#72,#76); +#11428=PLANE('',#11427); +#11429=ORIENTED_EDGE('',*,*,#18181,.F.); +#11430=ORIENTED_EDGE('',*,*,#19247,.T.); +#11431=ORIENTED_EDGE('',*,*,#19252,.T.); +#11432=ORIENTED_EDGE('',*,*,#19250,.F.); +#11433=EDGE_LOOP('',(#11429,#11430,#11431,#11432)); +#11434=FACE_OUTER_BOUND('',#11433,.F.); +#11435=ADVANCED_FACE('',(#11434),#11428,.T.); +#11436=ORIENTED_EDGE('',*,*,#19246,.F.); +#11437=ORIENTED_EDGE('',*,*,#19249,.F.); +#11438=ORIENTED_EDGE('',*,*,#19251,.F.); +#11439=ORIENTED_EDGE('',*,*,#19252,.F.); +#11440=EDGE_LOOP('',(#11436,#11437,#11438,#11439)); +#11441=FACE_OUTER_BOUND('',#11440,.F.); +#11442=ADVANCED_FACE('',(#11441),#7485,.T.); +#11443=CARTESIAN_POINT('',(3.715E1,4.13E0,-1.055E1)); +#11444=AXIS2_PLACEMENT_3D('',#11443,#84,#72); +#11445=PLANE('',#11444); +#11446=ORIENTED_EDGE('',*,*,#18108,.T.); +#11447=ORIENTED_EDGE('',*,*,#19253,.T.); +#11448=ORIENTED_EDGE('',*,*,#19254,.T.); +#11449=ORIENTED_EDGE('',*,*,#19255,.F.); +#11450=ORIENTED_EDGE('',*,*,#18183,.F.); +#11451=EDGE_LOOP('',(#11446,#11447,#11448,#11449,#11450)); +#11452=FACE_OUTER_BOUND('',#11451,.F.); +#11453=ADVANCED_FACE('',(#11452),#11445,.T.); +#11454=CARTESIAN_POINT('',(3.651E1,4.13E0,-1.055E1)); +#11455=AXIS2_PLACEMENT_3D('',#11454,#80,#84); +#11456=PLANE('',#11455); +#11457=ORIENTED_EDGE('',*,*,#18107,.F.); +#11458=ORIENTED_EDGE('',*,*,#19256,.T.); +#11459=ORIENTED_EDGE('',*,*,#19257,.T.); +#11460=ORIENTED_EDGE('',*,*,#19253,.F.); +#11461=EDGE_LOOP('',(#11457,#11458,#11459,#11460)); +#11462=FACE_OUTER_BOUND('',#11461,.F.); +#11463=ADVANCED_FACE('',(#11462),#11456,.T.); +#11464=AXIS2_PLACEMENT_3D('',#3026,#76,#80); +#11465=PLANE('',#11464); +#11466=ORIENTED_EDGE('',*,*,#18106,.F.); +#11467=ORIENTED_EDGE('',*,*,#18185,.T.); +#11468=ORIENTED_EDGE('',*,*,#19258,.T.); +#11469=ORIENTED_EDGE('',*,*,#19259,.T.); +#11470=ORIENTED_EDGE('',*,*,#19256,.F.); +#11471=EDGE_LOOP('',(#11466,#11467,#11468,#11469,#11470)); +#11472=FACE_OUTER_BOUND('',#11471,.F.); +#11473=ADVANCED_FACE('',(#11472),#11465,.T.); +#11474=AXIS2_PLACEMENT_3D('',#1478,#72,#76); +#11475=PLANE('',#11474); +#11476=ORIENTED_EDGE('',*,*,#18184,.F.); +#11477=ORIENTED_EDGE('',*,*,#19255,.T.); +#11478=ORIENTED_EDGE('',*,*,#19260,.T.); +#11479=ORIENTED_EDGE('',*,*,#19258,.F.); +#11480=EDGE_LOOP('',(#11476,#11477,#11478,#11479)); +#11481=FACE_OUTER_BOUND('',#11480,.F.); +#11482=ADVANCED_FACE('',(#11481),#11475,.T.); +#11483=ORIENTED_EDGE('',*,*,#19254,.F.); +#11484=ORIENTED_EDGE('',*,*,#19257,.F.); +#11485=ORIENTED_EDGE('',*,*,#19259,.F.); +#11486=ORIENTED_EDGE('',*,*,#19260,.F.); +#11487=EDGE_LOOP('',(#11483,#11484,#11485,#11486)); +#11488=FACE_OUTER_BOUND('',#11487,.F.); +#11489=ADVANCED_FACE('',(#11488),#7485,.T.); +#11490=CARTESIAN_POINT('',(3.461E1,4.13E0,-1.055E1)); +#11491=AXIS2_PLACEMENT_3D('',#11490,#84,#72); +#11492=PLANE('',#11491); +#11493=ORIENTED_EDGE('',*,*,#18104,.T.); +#11494=ORIENTED_EDGE('',*,*,#19261,.T.); +#11495=ORIENTED_EDGE('',*,*,#19262,.T.); +#11496=ORIENTED_EDGE('',*,*,#19263,.F.); +#11497=ORIENTED_EDGE('',*,*,#18186,.F.); +#11498=EDGE_LOOP('',(#11493,#11494,#11495,#11496,#11497)); +#11499=FACE_OUTER_BOUND('',#11498,.F.); +#11500=ADVANCED_FACE('',(#11499),#11492,.T.); +#11501=CARTESIAN_POINT('',(3.397E1,4.13E0,-1.055E1)); +#11502=AXIS2_PLACEMENT_3D('',#11501,#80,#84); +#11503=PLANE('',#11502); +#11504=ORIENTED_EDGE('',*,*,#18103,.F.); +#11505=ORIENTED_EDGE('',*,*,#19264,.T.); +#11506=ORIENTED_EDGE('',*,*,#19265,.T.); +#11507=ORIENTED_EDGE('',*,*,#19261,.F.); +#11508=EDGE_LOOP('',(#11504,#11505,#11506,#11507)); +#11509=FACE_OUTER_BOUND('',#11508,.F.); +#11510=ADVANCED_FACE('',(#11509),#11503,.T.); +#11511=AXIS2_PLACEMENT_3D('',#3040,#76,#80); +#11512=PLANE('',#11511); +#11513=ORIENTED_EDGE('',*,*,#18102,.F.); +#11514=ORIENTED_EDGE('',*,*,#18188,.T.); +#11515=ORIENTED_EDGE('',*,*,#19266,.T.); +#11516=ORIENTED_EDGE('',*,*,#19267,.T.); +#11517=ORIENTED_EDGE('',*,*,#19264,.F.); +#11518=EDGE_LOOP('',(#11513,#11514,#11515,#11516,#11517)); +#11519=FACE_OUTER_BOUND('',#11518,.F.); +#11520=ADVANCED_FACE('',(#11519),#11512,.T.); +#11521=AXIS2_PLACEMENT_3D('',#1483,#72,#76); +#11522=PLANE('',#11521); +#11523=ORIENTED_EDGE('',*,*,#18187,.F.); +#11524=ORIENTED_EDGE('',*,*,#19263,.T.); +#11525=ORIENTED_EDGE('',*,*,#19268,.T.); +#11526=ORIENTED_EDGE('',*,*,#19266,.F.); +#11527=EDGE_LOOP('',(#11523,#11524,#11525,#11526)); +#11528=FACE_OUTER_BOUND('',#11527,.F.); +#11529=ADVANCED_FACE('',(#11528),#11522,.T.); +#11530=ORIENTED_EDGE('',*,*,#19262,.F.); +#11531=ORIENTED_EDGE('',*,*,#19265,.F.); +#11532=ORIENTED_EDGE('',*,*,#19267,.F.); +#11533=ORIENTED_EDGE('',*,*,#19268,.F.); +#11534=EDGE_LOOP('',(#11530,#11531,#11532,#11533)); +#11535=FACE_OUTER_BOUND('',#11534,.F.); +#11536=ADVANCED_FACE('',(#11535),#7485,.T.); +#11537=CARTESIAN_POINT('',(3.207E1,4.13E0,-1.055E1)); +#11538=AXIS2_PLACEMENT_3D('',#11537,#84,#72); +#11539=PLANE('',#11538); +#11540=ORIENTED_EDGE('',*,*,#18100,.T.); +#11541=ORIENTED_EDGE('',*,*,#19269,.T.); +#11542=ORIENTED_EDGE('',*,*,#19270,.T.); +#11543=ORIENTED_EDGE('',*,*,#19271,.F.); +#11544=ORIENTED_EDGE('',*,*,#18189,.F.); +#11545=EDGE_LOOP('',(#11540,#11541,#11542,#11543,#11544)); +#11546=FACE_OUTER_BOUND('',#11545,.F.); +#11547=ADVANCED_FACE('',(#11546),#11539,.T.); +#11548=CARTESIAN_POINT('',(3.143E1,4.13E0,-1.055E1)); +#11549=AXIS2_PLACEMENT_3D('',#11548,#80,#84); +#11550=PLANE('',#11549); +#11551=ORIENTED_EDGE('',*,*,#18099,.F.); +#11552=ORIENTED_EDGE('',*,*,#19272,.T.); +#11553=ORIENTED_EDGE('',*,*,#19273,.T.); +#11554=ORIENTED_EDGE('',*,*,#19269,.F.); +#11555=EDGE_LOOP('',(#11551,#11552,#11553,#11554)); +#11556=FACE_OUTER_BOUND('',#11555,.F.); +#11557=ADVANCED_FACE('',(#11556),#11550,.T.); +#11558=AXIS2_PLACEMENT_3D('',#3054,#76,#80); +#11559=PLANE('',#11558); +#11560=ORIENTED_EDGE('',*,*,#18098,.F.); +#11561=ORIENTED_EDGE('',*,*,#18191,.T.); +#11562=ORIENTED_EDGE('',*,*,#19274,.T.); +#11563=ORIENTED_EDGE('',*,*,#19275,.T.); +#11564=ORIENTED_EDGE('',*,*,#19272,.F.); +#11565=EDGE_LOOP('',(#11560,#11561,#11562,#11563,#11564)); +#11566=FACE_OUTER_BOUND('',#11565,.F.); +#11567=ADVANCED_FACE('',(#11566),#11559,.T.); +#11568=AXIS2_PLACEMENT_3D('',#1488,#72,#76); +#11569=PLANE('',#11568); +#11570=ORIENTED_EDGE('',*,*,#18190,.F.); +#11571=ORIENTED_EDGE('',*,*,#19271,.T.); +#11572=ORIENTED_EDGE('',*,*,#19276,.T.); +#11573=ORIENTED_EDGE('',*,*,#19274,.F.); +#11574=EDGE_LOOP('',(#11570,#11571,#11572,#11573)); +#11575=FACE_OUTER_BOUND('',#11574,.F.); +#11576=ADVANCED_FACE('',(#11575),#11569,.T.); +#11577=ORIENTED_EDGE('',*,*,#19270,.F.); +#11578=ORIENTED_EDGE('',*,*,#19273,.F.); +#11579=ORIENTED_EDGE('',*,*,#19275,.F.); +#11580=ORIENTED_EDGE('',*,*,#19276,.F.); +#11581=EDGE_LOOP('',(#11577,#11578,#11579,#11580)); +#11582=FACE_OUTER_BOUND('',#11581,.F.); +#11583=ADVANCED_FACE('',(#11582),#7485,.T.); +#11584=CARTESIAN_POINT('',(2.953E1,4.13E0,-1.055E1)); +#11585=AXIS2_PLACEMENT_3D('',#11584,#84,#72); +#11586=PLANE('',#11585); +#11587=ORIENTED_EDGE('',*,*,#18096,.T.); +#11588=ORIENTED_EDGE('',*,*,#19277,.T.); +#11589=ORIENTED_EDGE('',*,*,#19278,.T.); +#11590=ORIENTED_EDGE('',*,*,#19279,.F.); +#11591=ORIENTED_EDGE('',*,*,#18192,.F.); +#11592=EDGE_LOOP('',(#11587,#11588,#11589,#11590,#11591)); +#11593=FACE_OUTER_BOUND('',#11592,.F.); +#11594=ADVANCED_FACE('',(#11593),#11586,.T.); +#11595=CARTESIAN_POINT('',(2.889E1,4.13E0,-1.055E1)); +#11596=AXIS2_PLACEMENT_3D('',#11595,#80,#84); +#11597=PLANE('',#11596); +#11598=ORIENTED_EDGE('',*,*,#18095,.F.); +#11599=ORIENTED_EDGE('',*,*,#19280,.T.); +#11600=ORIENTED_EDGE('',*,*,#19281,.T.); +#11601=ORIENTED_EDGE('',*,*,#19277,.F.); +#11602=EDGE_LOOP('',(#11598,#11599,#11600,#11601)); +#11603=FACE_OUTER_BOUND('',#11602,.F.); +#11604=ADVANCED_FACE('',(#11603),#11597,.T.); +#11605=AXIS2_PLACEMENT_3D('',#3068,#76,#80); +#11606=PLANE('',#11605); +#11607=ORIENTED_EDGE('',*,*,#18094,.F.); +#11608=ORIENTED_EDGE('',*,*,#18194,.T.); +#11609=ORIENTED_EDGE('',*,*,#19282,.T.); +#11610=ORIENTED_EDGE('',*,*,#19283,.T.); +#11611=ORIENTED_EDGE('',*,*,#19280,.F.); +#11612=EDGE_LOOP('',(#11607,#11608,#11609,#11610,#11611)); +#11613=FACE_OUTER_BOUND('',#11612,.F.); +#11614=ADVANCED_FACE('',(#11613),#11606,.T.); +#11615=AXIS2_PLACEMENT_3D('',#1493,#72,#76); +#11616=PLANE('',#11615); +#11617=ORIENTED_EDGE('',*,*,#18193,.F.); +#11618=ORIENTED_EDGE('',*,*,#19279,.T.); +#11619=ORIENTED_EDGE('',*,*,#19284,.T.); +#11620=ORIENTED_EDGE('',*,*,#19282,.F.); +#11621=EDGE_LOOP('',(#11617,#11618,#11619,#11620)); +#11622=FACE_OUTER_BOUND('',#11621,.F.); +#11623=ADVANCED_FACE('',(#11622),#11616,.T.); +#11624=ORIENTED_EDGE('',*,*,#19278,.F.); +#11625=ORIENTED_EDGE('',*,*,#19281,.F.); +#11626=ORIENTED_EDGE('',*,*,#19283,.F.); +#11627=ORIENTED_EDGE('',*,*,#19284,.F.); +#11628=EDGE_LOOP('',(#11624,#11625,#11626,#11627)); +#11629=FACE_OUTER_BOUND('',#11628,.F.); +#11630=ADVANCED_FACE('',(#11629),#7485,.T.); +#11631=CARTESIAN_POINT('',(2.699E1,4.13E0,-1.055E1)); +#11632=AXIS2_PLACEMENT_3D('',#11631,#84,#72); +#11633=PLANE('',#11632); +#11634=ORIENTED_EDGE('',*,*,#18092,.T.); +#11635=ORIENTED_EDGE('',*,*,#19285,.T.); +#11636=ORIENTED_EDGE('',*,*,#19286,.T.); +#11637=ORIENTED_EDGE('',*,*,#19287,.F.); +#11638=ORIENTED_EDGE('',*,*,#18195,.F.); +#11639=EDGE_LOOP('',(#11634,#11635,#11636,#11637,#11638)); +#11640=FACE_OUTER_BOUND('',#11639,.F.); +#11641=ADVANCED_FACE('',(#11640),#11633,.T.); +#11642=CARTESIAN_POINT('',(2.635E1,4.13E0,-1.055E1)); +#11643=AXIS2_PLACEMENT_3D('',#11642,#80,#84); +#11644=PLANE('',#11643); +#11645=ORIENTED_EDGE('',*,*,#18091,.F.); +#11646=ORIENTED_EDGE('',*,*,#19288,.T.); +#11647=ORIENTED_EDGE('',*,*,#19289,.T.); +#11648=ORIENTED_EDGE('',*,*,#19285,.F.); +#11649=EDGE_LOOP('',(#11645,#11646,#11647,#11648)); +#11650=FACE_OUTER_BOUND('',#11649,.F.); +#11651=ADVANCED_FACE('',(#11650),#11644,.T.); +#11652=AXIS2_PLACEMENT_3D('',#3082,#76,#80); +#11653=PLANE('',#11652); +#11654=ORIENTED_EDGE('',*,*,#18090,.F.); +#11655=ORIENTED_EDGE('',*,*,#18197,.T.); +#11656=ORIENTED_EDGE('',*,*,#19290,.T.); +#11657=ORIENTED_EDGE('',*,*,#19291,.T.); +#11658=ORIENTED_EDGE('',*,*,#19288,.F.); +#11659=EDGE_LOOP('',(#11654,#11655,#11656,#11657,#11658)); +#11660=FACE_OUTER_BOUND('',#11659,.F.); +#11661=ADVANCED_FACE('',(#11660),#11653,.T.); +#11662=AXIS2_PLACEMENT_3D('',#1498,#72,#76); +#11663=PLANE('',#11662); +#11664=ORIENTED_EDGE('',*,*,#18196,.F.); +#11665=ORIENTED_EDGE('',*,*,#19287,.T.); +#11666=ORIENTED_EDGE('',*,*,#19292,.T.); +#11667=ORIENTED_EDGE('',*,*,#19290,.F.); +#11668=EDGE_LOOP('',(#11664,#11665,#11666,#11667)); +#11669=FACE_OUTER_BOUND('',#11668,.F.); +#11670=ADVANCED_FACE('',(#11669),#11663,.T.); +#11671=ORIENTED_EDGE('',*,*,#19286,.F.); +#11672=ORIENTED_EDGE('',*,*,#19289,.F.); +#11673=ORIENTED_EDGE('',*,*,#19291,.F.); +#11674=ORIENTED_EDGE('',*,*,#19292,.F.); +#11675=EDGE_LOOP('',(#11671,#11672,#11673,#11674)); +#11676=FACE_OUTER_BOUND('',#11675,.F.); +#11677=ADVANCED_FACE('',(#11676),#7485,.T.); +#11678=CARTESIAN_POINT('',(2.445E1,4.13E0,-1.055E1)); +#11679=AXIS2_PLACEMENT_3D('',#11678,#84,#72); +#11680=PLANE('',#11679); +#11681=ORIENTED_EDGE('',*,*,#18088,.T.); +#11682=ORIENTED_EDGE('',*,*,#19293,.T.); +#11683=ORIENTED_EDGE('',*,*,#19294,.T.); +#11684=ORIENTED_EDGE('',*,*,#19295,.F.); +#11685=ORIENTED_EDGE('',*,*,#18198,.F.); +#11686=EDGE_LOOP('',(#11681,#11682,#11683,#11684,#11685)); +#11687=FACE_OUTER_BOUND('',#11686,.F.); +#11688=ADVANCED_FACE('',(#11687),#11680,.T.); +#11689=CARTESIAN_POINT('',(2.381E1,4.13E0,-1.055E1)); +#11690=AXIS2_PLACEMENT_3D('',#11689,#80,#84); +#11691=PLANE('',#11690); +#11692=ORIENTED_EDGE('',*,*,#18087,.F.); +#11693=ORIENTED_EDGE('',*,*,#19296,.T.); +#11694=ORIENTED_EDGE('',*,*,#19297,.T.); +#11695=ORIENTED_EDGE('',*,*,#19293,.F.); +#11696=EDGE_LOOP('',(#11692,#11693,#11694,#11695)); +#11697=FACE_OUTER_BOUND('',#11696,.F.); +#11698=ADVANCED_FACE('',(#11697),#11691,.T.); +#11699=AXIS2_PLACEMENT_3D('',#3096,#76,#80); +#11700=PLANE('',#11699); +#11701=ORIENTED_EDGE('',*,*,#18086,.F.); +#11702=ORIENTED_EDGE('',*,*,#18200,.T.); +#11703=ORIENTED_EDGE('',*,*,#19298,.T.); +#11704=ORIENTED_EDGE('',*,*,#19299,.T.); +#11705=ORIENTED_EDGE('',*,*,#19296,.F.); +#11706=EDGE_LOOP('',(#11701,#11702,#11703,#11704,#11705)); +#11707=FACE_OUTER_BOUND('',#11706,.F.); +#11708=ADVANCED_FACE('',(#11707),#11700,.T.); +#11709=AXIS2_PLACEMENT_3D('',#1503,#72,#76); +#11710=PLANE('',#11709); +#11711=ORIENTED_EDGE('',*,*,#18199,.F.); +#11712=ORIENTED_EDGE('',*,*,#19295,.T.); +#11713=ORIENTED_EDGE('',*,*,#19300,.T.); +#11714=ORIENTED_EDGE('',*,*,#19298,.F.); +#11715=EDGE_LOOP('',(#11711,#11712,#11713,#11714)); +#11716=FACE_OUTER_BOUND('',#11715,.F.); +#11717=ADVANCED_FACE('',(#11716),#11710,.T.); +#11718=ORIENTED_EDGE('',*,*,#19294,.F.); +#11719=ORIENTED_EDGE('',*,*,#19297,.F.); +#11720=ORIENTED_EDGE('',*,*,#19299,.F.); +#11721=ORIENTED_EDGE('',*,*,#19300,.F.); +#11722=EDGE_LOOP('',(#11718,#11719,#11720,#11721)); +#11723=FACE_OUTER_BOUND('',#11722,.F.); +#11724=ADVANCED_FACE('',(#11723),#7485,.T.); +#11725=CARTESIAN_POINT('',(2.191E1,4.13E0,-1.055E1)); +#11726=AXIS2_PLACEMENT_3D('',#11725,#84,#72); +#11727=PLANE('',#11726); +#11728=ORIENTED_EDGE('',*,*,#18084,.T.); +#11729=ORIENTED_EDGE('',*,*,#19301,.T.); +#11730=ORIENTED_EDGE('',*,*,#19302,.T.); +#11731=ORIENTED_EDGE('',*,*,#19303,.F.); +#11732=ORIENTED_EDGE('',*,*,#18201,.F.); +#11733=EDGE_LOOP('',(#11728,#11729,#11730,#11731,#11732)); +#11734=FACE_OUTER_BOUND('',#11733,.F.); +#11735=ADVANCED_FACE('',(#11734),#11727,.T.); +#11736=CARTESIAN_POINT('',(2.127E1,4.13E0,-1.055E1)); +#11737=AXIS2_PLACEMENT_3D('',#11736,#80,#84); +#11738=PLANE('',#11737); +#11739=ORIENTED_EDGE('',*,*,#18083,.F.); +#11740=ORIENTED_EDGE('',*,*,#19304,.T.); +#11741=ORIENTED_EDGE('',*,*,#19305,.T.); +#11742=ORIENTED_EDGE('',*,*,#19301,.F.); +#11743=EDGE_LOOP('',(#11739,#11740,#11741,#11742)); +#11744=FACE_OUTER_BOUND('',#11743,.F.); +#11745=ADVANCED_FACE('',(#11744),#11738,.T.); +#11746=AXIS2_PLACEMENT_3D('',#3110,#76,#80); +#11747=PLANE('',#11746); +#11748=ORIENTED_EDGE('',*,*,#18082,.F.); +#11749=ORIENTED_EDGE('',*,*,#18203,.T.); +#11750=ORIENTED_EDGE('',*,*,#19306,.T.); +#11751=ORIENTED_EDGE('',*,*,#19307,.T.); +#11752=ORIENTED_EDGE('',*,*,#19304,.F.); +#11753=EDGE_LOOP('',(#11748,#11749,#11750,#11751,#11752)); +#11754=FACE_OUTER_BOUND('',#11753,.F.); +#11755=ADVANCED_FACE('',(#11754),#11747,.T.); +#11756=AXIS2_PLACEMENT_3D('',#1508,#72,#76); +#11757=PLANE('',#11756); +#11758=ORIENTED_EDGE('',*,*,#18202,.F.); +#11759=ORIENTED_EDGE('',*,*,#19303,.T.); +#11760=ORIENTED_EDGE('',*,*,#19308,.T.); +#11761=ORIENTED_EDGE('',*,*,#19306,.F.); +#11762=EDGE_LOOP('',(#11758,#11759,#11760,#11761)); +#11763=FACE_OUTER_BOUND('',#11762,.F.); +#11764=ADVANCED_FACE('',(#11763),#11757,.T.); +#11765=ORIENTED_EDGE('',*,*,#19302,.F.); +#11766=ORIENTED_EDGE('',*,*,#19305,.F.); +#11767=ORIENTED_EDGE('',*,*,#19307,.F.); +#11768=ORIENTED_EDGE('',*,*,#19308,.F.); +#11769=EDGE_LOOP('',(#11765,#11766,#11767,#11768)); +#11770=FACE_OUTER_BOUND('',#11769,.F.); +#11771=ADVANCED_FACE('',(#11770),#7485,.T.); +#11772=CARTESIAN_POINT('',(1.937E1,4.13E0,-1.055E1)); +#11773=AXIS2_PLACEMENT_3D('',#11772,#84,#72); +#11774=PLANE('',#11773); +#11775=ORIENTED_EDGE('',*,*,#18080,.T.); +#11776=ORIENTED_EDGE('',*,*,#19309,.T.); +#11777=ORIENTED_EDGE('',*,*,#19310,.T.); +#11778=ORIENTED_EDGE('',*,*,#19311,.F.); +#11779=ORIENTED_EDGE('',*,*,#18204,.F.); +#11780=EDGE_LOOP('',(#11775,#11776,#11777,#11778,#11779)); +#11781=FACE_OUTER_BOUND('',#11780,.F.); +#11782=ADVANCED_FACE('',(#11781),#11774,.T.); +#11783=CARTESIAN_POINT('',(1.873E1,4.13E0,-1.055E1)); +#11784=AXIS2_PLACEMENT_3D('',#11783,#80,#84); +#11785=PLANE('',#11784); +#11786=ORIENTED_EDGE('',*,*,#18079,.F.); +#11787=ORIENTED_EDGE('',*,*,#19312,.T.); +#11788=ORIENTED_EDGE('',*,*,#19313,.T.); +#11789=ORIENTED_EDGE('',*,*,#19309,.F.); +#11790=EDGE_LOOP('',(#11786,#11787,#11788,#11789)); +#11791=FACE_OUTER_BOUND('',#11790,.F.); +#11792=ADVANCED_FACE('',(#11791),#11785,.T.); +#11793=AXIS2_PLACEMENT_3D('',#3124,#76,#80); +#11794=PLANE('',#11793); +#11795=ORIENTED_EDGE('',*,*,#18078,.F.); +#11796=ORIENTED_EDGE('',*,*,#18206,.T.); +#11797=ORIENTED_EDGE('',*,*,#19314,.T.); +#11798=ORIENTED_EDGE('',*,*,#19315,.T.); +#11799=ORIENTED_EDGE('',*,*,#19312,.F.); +#11800=EDGE_LOOP('',(#11795,#11796,#11797,#11798,#11799)); +#11801=FACE_OUTER_BOUND('',#11800,.F.); +#11802=ADVANCED_FACE('',(#11801),#11794,.T.); +#11803=AXIS2_PLACEMENT_3D('',#1513,#72,#76); +#11804=PLANE('',#11803); +#11805=ORIENTED_EDGE('',*,*,#18205,.F.); +#11806=ORIENTED_EDGE('',*,*,#19311,.T.); +#11807=ORIENTED_EDGE('',*,*,#19316,.T.); +#11808=ORIENTED_EDGE('',*,*,#19314,.F.); +#11809=EDGE_LOOP('',(#11805,#11806,#11807,#11808)); +#11810=FACE_OUTER_BOUND('',#11809,.F.); +#11811=ADVANCED_FACE('',(#11810),#11804,.T.); +#11812=ORIENTED_EDGE('',*,*,#19310,.F.); +#11813=ORIENTED_EDGE('',*,*,#19313,.F.); +#11814=ORIENTED_EDGE('',*,*,#19315,.F.); +#11815=ORIENTED_EDGE('',*,*,#19316,.F.); +#11816=EDGE_LOOP('',(#11812,#11813,#11814,#11815)); +#11817=FACE_OUTER_BOUND('',#11816,.F.); +#11818=ADVANCED_FACE('',(#11817),#7485,.T.); +#11819=CARTESIAN_POINT('',(1.683E1,4.13E0,-1.055E1)); +#11820=AXIS2_PLACEMENT_3D('',#11819,#84,#72); +#11821=PLANE('',#11820); +#11822=ORIENTED_EDGE('',*,*,#18076,.T.); +#11823=ORIENTED_EDGE('',*,*,#19317,.T.); +#11824=ORIENTED_EDGE('',*,*,#19318,.T.); +#11825=ORIENTED_EDGE('',*,*,#19319,.F.); +#11826=ORIENTED_EDGE('',*,*,#18207,.F.); +#11827=EDGE_LOOP('',(#11822,#11823,#11824,#11825,#11826)); +#11828=FACE_OUTER_BOUND('',#11827,.F.); +#11829=ADVANCED_FACE('',(#11828),#11821,.T.); +#11830=CARTESIAN_POINT('',(1.619E1,4.13E0,-1.055E1)); +#11831=AXIS2_PLACEMENT_3D('',#11830,#80,#84); +#11832=PLANE('',#11831); +#11833=ORIENTED_EDGE('',*,*,#18075,.F.); +#11834=ORIENTED_EDGE('',*,*,#19320,.T.); +#11835=ORIENTED_EDGE('',*,*,#19321,.T.); +#11836=ORIENTED_EDGE('',*,*,#19317,.F.); +#11837=EDGE_LOOP('',(#11833,#11834,#11835,#11836)); +#11838=FACE_OUTER_BOUND('',#11837,.F.); +#11839=ADVANCED_FACE('',(#11838),#11832,.T.); +#11840=AXIS2_PLACEMENT_3D('',#3138,#76,#80); +#11841=PLANE('',#11840); +#11842=ORIENTED_EDGE('',*,*,#18074,.F.); +#11843=ORIENTED_EDGE('',*,*,#18209,.T.); +#11844=ORIENTED_EDGE('',*,*,#19322,.T.); +#11845=ORIENTED_EDGE('',*,*,#19323,.T.); +#11846=ORIENTED_EDGE('',*,*,#19320,.F.); +#11847=EDGE_LOOP('',(#11842,#11843,#11844,#11845,#11846)); +#11848=FACE_OUTER_BOUND('',#11847,.F.); +#11849=ADVANCED_FACE('',(#11848),#11841,.T.); +#11850=AXIS2_PLACEMENT_3D('',#1518,#72,#76); +#11851=PLANE('',#11850); +#11852=ORIENTED_EDGE('',*,*,#18208,.F.); +#11853=ORIENTED_EDGE('',*,*,#19319,.T.); +#11854=ORIENTED_EDGE('',*,*,#19324,.T.); +#11855=ORIENTED_EDGE('',*,*,#19322,.F.); +#11856=EDGE_LOOP('',(#11852,#11853,#11854,#11855)); +#11857=FACE_OUTER_BOUND('',#11856,.F.); +#11858=ADVANCED_FACE('',(#11857),#11851,.T.); +#11859=ORIENTED_EDGE('',*,*,#19318,.F.); +#11860=ORIENTED_EDGE('',*,*,#19321,.F.); +#11861=ORIENTED_EDGE('',*,*,#19323,.F.); +#11862=ORIENTED_EDGE('',*,*,#19324,.F.); +#11863=EDGE_LOOP('',(#11859,#11860,#11861,#11862)); +#11864=FACE_OUTER_BOUND('',#11863,.F.); +#11865=ADVANCED_FACE('',(#11864),#7485,.T.); +#11866=CARTESIAN_POINT('',(1.429E1,4.13E0,-1.055E1)); +#11867=AXIS2_PLACEMENT_3D('',#11866,#84,#72); +#11868=PLANE('',#11867); +#11869=ORIENTED_EDGE('',*,*,#18072,.T.); +#11870=ORIENTED_EDGE('',*,*,#19325,.T.); +#11871=ORIENTED_EDGE('',*,*,#19326,.T.); +#11872=ORIENTED_EDGE('',*,*,#19327,.F.); +#11873=ORIENTED_EDGE('',*,*,#18210,.F.); +#11874=EDGE_LOOP('',(#11869,#11870,#11871,#11872,#11873)); +#11875=FACE_OUTER_BOUND('',#11874,.F.); +#11876=ADVANCED_FACE('',(#11875),#11868,.T.); +#11877=CARTESIAN_POINT('',(1.365E1,4.13E0,-1.055E1)); +#11878=AXIS2_PLACEMENT_3D('',#11877,#80,#84); +#11879=PLANE('',#11878); +#11880=ORIENTED_EDGE('',*,*,#18071,.F.); +#11881=ORIENTED_EDGE('',*,*,#19328,.T.); +#11882=ORIENTED_EDGE('',*,*,#19329,.T.); +#11883=ORIENTED_EDGE('',*,*,#19325,.F.); +#11884=EDGE_LOOP('',(#11880,#11881,#11882,#11883)); +#11885=FACE_OUTER_BOUND('',#11884,.F.); +#11886=ADVANCED_FACE('',(#11885),#11879,.T.); +#11887=AXIS2_PLACEMENT_3D('',#3152,#76,#80); +#11888=PLANE('',#11887); +#11889=ORIENTED_EDGE('',*,*,#18070,.F.); +#11890=ORIENTED_EDGE('',*,*,#18212,.T.); +#11891=ORIENTED_EDGE('',*,*,#19330,.T.); +#11892=ORIENTED_EDGE('',*,*,#19331,.T.); +#11893=ORIENTED_EDGE('',*,*,#19328,.F.); +#11894=EDGE_LOOP('',(#11889,#11890,#11891,#11892,#11893)); +#11895=FACE_OUTER_BOUND('',#11894,.F.); +#11896=ADVANCED_FACE('',(#11895),#11888,.T.); +#11897=AXIS2_PLACEMENT_3D('',#1523,#72,#76); +#11898=PLANE('',#11897); +#11899=ORIENTED_EDGE('',*,*,#18211,.F.); +#11900=ORIENTED_EDGE('',*,*,#19327,.T.); +#11901=ORIENTED_EDGE('',*,*,#19332,.T.); +#11902=ORIENTED_EDGE('',*,*,#19330,.F.); +#11903=EDGE_LOOP('',(#11899,#11900,#11901,#11902)); +#11904=FACE_OUTER_BOUND('',#11903,.F.); +#11905=ADVANCED_FACE('',(#11904),#11898,.T.); +#11906=ORIENTED_EDGE('',*,*,#19326,.F.); +#11907=ORIENTED_EDGE('',*,*,#19329,.F.); +#11908=ORIENTED_EDGE('',*,*,#19331,.F.); +#11909=ORIENTED_EDGE('',*,*,#19332,.F.); +#11910=EDGE_LOOP('',(#11906,#11907,#11908,#11909)); +#11911=FACE_OUTER_BOUND('',#11910,.F.); +#11912=ADVANCED_FACE('',(#11911),#7485,.T.); +#11913=CARTESIAN_POINT('',(1.175E1,4.13E0,-1.055E1)); +#11914=AXIS2_PLACEMENT_3D('',#11913,#84,#72); +#11915=PLANE('',#11914); +#11916=ORIENTED_EDGE('',*,*,#18068,.T.); +#11917=ORIENTED_EDGE('',*,*,#19333,.T.); +#11918=ORIENTED_EDGE('',*,*,#19334,.T.); +#11919=ORIENTED_EDGE('',*,*,#19335,.F.); +#11920=ORIENTED_EDGE('',*,*,#18213,.F.); +#11921=EDGE_LOOP('',(#11916,#11917,#11918,#11919,#11920)); +#11922=FACE_OUTER_BOUND('',#11921,.F.); +#11923=ADVANCED_FACE('',(#11922),#11915,.T.); +#11924=CARTESIAN_POINT('',(1.111E1,4.13E0,-1.055E1)); +#11925=AXIS2_PLACEMENT_3D('',#11924,#80,#84); +#11926=PLANE('',#11925); +#11927=ORIENTED_EDGE('',*,*,#18067,.F.); +#11928=ORIENTED_EDGE('',*,*,#19336,.T.); +#11929=ORIENTED_EDGE('',*,*,#19337,.T.); +#11930=ORIENTED_EDGE('',*,*,#19333,.F.); +#11931=EDGE_LOOP('',(#11927,#11928,#11929,#11930)); +#11932=FACE_OUTER_BOUND('',#11931,.F.); +#11933=ADVANCED_FACE('',(#11932),#11926,.T.); +#11934=AXIS2_PLACEMENT_3D('',#3166,#76,#80); +#11935=PLANE('',#11934); +#11936=ORIENTED_EDGE('',*,*,#18066,.F.); +#11937=ORIENTED_EDGE('',*,*,#18215,.T.); +#11938=ORIENTED_EDGE('',*,*,#19338,.T.); +#11939=ORIENTED_EDGE('',*,*,#19339,.T.); +#11940=ORIENTED_EDGE('',*,*,#19336,.F.); +#11941=EDGE_LOOP('',(#11936,#11937,#11938,#11939,#11940)); +#11942=FACE_OUTER_BOUND('',#11941,.F.); +#11943=ADVANCED_FACE('',(#11942),#11935,.T.); +#11944=AXIS2_PLACEMENT_3D('',#1528,#72,#76); +#11945=PLANE('',#11944); +#11946=ORIENTED_EDGE('',*,*,#18214,.F.); +#11947=ORIENTED_EDGE('',*,*,#19335,.T.); +#11948=ORIENTED_EDGE('',*,*,#19340,.T.); +#11949=ORIENTED_EDGE('',*,*,#19338,.F.); +#11950=EDGE_LOOP('',(#11946,#11947,#11948,#11949)); +#11951=FACE_OUTER_BOUND('',#11950,.F.); +#11952=ADVANCED_FACE('',(#11951),#11945,.T.); +#11953=ORIENTED_EDGE('',*,*,#19334,.F.); +#11954=ORIENTED_EDGE('',*,*,#19337,.F.); +#11955=ORIENTED_EDGE('',*,*,#19339,.F.); +#11956=ORIENTED_EDGE('',*,*,#19340,.F.); +#11957=EDGE_LOOP('',(#11953,#11954,#11955,#11956)); +#11958=FACE_OUTER_BOUND('',#11957,.F.); +#11959=ADVANCED_FACE('',(#11958),#7485,.T.); +#11960=CARTESIAN_POINT('',(9.21E0,4.13E0,-1.055E1)); +#11961=AXIS2_PLACEMENT_3D('',#11960,#84,#72); +#11962=PLANE('',#11961); +#11963=ORIENTED_EDGE('',*,*,#18064,.T.); +#11964=ORIENTED_EDGE('',*,*,#19341,.T.); +#11965=ORIENTED_EDGE('',*,*,#19342,.T.); +#11966=ORIENTED_EDGE('',*,*,#19343,.F.); +#11967=ORIENTED_EDGE('',*,*,#18216,.F.); +#11968=EDGE_LOOP('',(#11963,#11964,#11965,#11966,#11967)); +#11969=FACE_OUTER_BOUND('',#11968,.F.); +#11970=ADVANCED_FACE('',(#11969),#11962,.T.); +#11971=CARTESIAN_POINT('',(8.57E0,4.13E0,-1.055E1)); +#11972=AXIS2_PLACEMENT_3D('',#11971,#80,#84); +#11973=PLANE('',#11972); +#11974=ORIENTED_EDGE('',*,*,#18063,.F.); +#11975=ORIENTED_EDGE('',*,*,#19344,.T.); +#11976=ORIENTED_EDGE('',*,*,#19345,.T.); +#11977=ORIENTED_EDGE('',*,*,#19341,.F.); +#11978=EDGE_LOOP('',(#11974,#11975,#11976,#11977)); +#11979=FACE_OUTER_BOUND('',#11978,.F.); +#11980=ADVANCED_FACE('',(#11979),#11973,.T.); +#11981=AXIS2_PLACEMENT_3D('',#3180,#76,#80); +#11982=PLANE('',#11981); +#11983=ORIENTED_EDGE('',*,*,#18062,.F.); +#11984=ORIENTED_EDGE('',*,*,#18218,.T.); +#11985=ORIENTED_EDGE('',*,*,#19346,.T.); +#11986=ORIENTED_EDGE('',*,*,#19347,.T.); +#11987=ORIENTED_EDGE('',*,*,#19344,.F.); +#11988=EDGE_LOOP('',(#11983,#11984,#11985,#11986,#11987)); +#11989=FACE_OUTER_BOUND('',#11988,.F.); +#11990=ADVANCED_FACE('',(#11989),#11982,.T.); +#11991=AXIS2_PLACEMENT_3D('',#1533,#72,#76); +#11992=PLANE('',#11991); +#11993=ORIENTED_EDGE('',*,*,#18217,.F.); +#11994=ORIENTED_EDGE('',*,*,#19343,.T.); +#11995=ORIENTED_EDGE('',*,*,#19348,.T.); +#11996=ORIENTED_EDGE('',*,*,#19346,.F.); +#11997=EDGE_LOOP('',(#11993,#11994,#11995,#11996)); +#11998=FACE_OUTER_BOUND('',#11997,.F.); +#11999=ADVANCED_FACE('',(#11998),#11992,.T.); +#12000=ORIENTED_EDGE('',*,*,#19342,.F.); +#12001=ORIENTED_EDGE('',*,*,#19345,.F.); +#12002=ORIENTED_EDGE('',*,*,#19347,.F.); +#12003=ORIENTED_EDGE('',*,*,#19348,.F.); +#12004=EDGE_LOOP('',(#12000,#12001,#12002,#12003)); +#12005=FACE_OUTER_BOUND('',#12004,.F.); +#12006=ADVANCED_FACE('',(#12005),#7485,.T.); +#12007=CARTESIAN_POINT('',(6.67E0,4.13E0,-1.055E1)); +#12008=AXIS2_PLACEMENT_3D('',#12007,#84,#72); +#12009=PLANE('',#12008); +#12010=ORIENTED_EDGE('',*,*,#18060,.T.); +#12011=ORIENTED_EDGE('',*,*,#19349,.T.); +#12012=ORIENTED_EDGE('',*,*,#19350,.T.); +#12013=ORIENTED_EDGE('',*,*,#19351,.F.); +#12014=ORIENTED_EDGE('',*,*,#18219,.F.); +#12015=EDGE_LOOP('',(#12010,#12011,#12012,#12013,#12014)); +#12016=FACE_OUTER_BOUND('',#12015,.F.); +#12017=ADVANCED_FACE('',(#12016),#12009,.T.); +#12018=CARTESIAN_POINT('',(6.03E0,4.13E0,-1.055E1)); +#12019=AXIS2_PLACEMENT_3D('',#12018,#80,#84); +#12020=PLANE('',#12019); +#12021=ORIENTED_EDGE('',*,*,#18059,.F.); +#12022=ORIENTED_EDGE('',*,*,#19352,.T.); +#12023=ORIENTED_EDGE('',*,*,#19353,.T.); +#12024=ORIENTED_EDGE('',*,*,#19349,.F.); +#12025=EDGE_LOOP('',(#12021,#12022,#12023,#12024)); +#12026=FACE_OUTER_BOUND('',#12025,.F.); +#12027=ADVANCED_FACE('',(#12026),#12020,.T.); +#12028=AXIS2_PLACEMENT_3D('',#3194,#76,#80); +#12029=PLANE('',#12028); +#12030=ORIENTED_EDGE('',*,*,#18058,.F.); +#12031=ORIENTED_EDGE('',*,*,#18221,.T.); +#12032=ORIENTED_EDGE('',*,*,#19354,.T.); +#12033=ORIENTED_EDGE('',*,*,#19355,.T.); +#12034=ORIENTED_EDGE('',*,*,#19352,.F.); +#12035=EDGE_LOOP('',(#12030,#12031,#12032,#12033,#12034)); +#12036=FACE_OUTER_BOUND('',#12035,.F.); +#12037=ADVANCED_FACE('',(#12036),#12029,.T.); +#12038=AXIS2_PLACEMENT_3D('',#1538,#72,#76); +#12039=PLANE('',#12038); +#12040=ORIENTED_EDGE('',*,*,#18220,.F.); +#12041=ORIENTED_EDGE('',*,*,#19351,.T.); +#12042=ORIENTED_EDGE('',*,*,#19356,.T.); +#12043=ORIENTED_EDGE('',*,*,#19354,.F.); +#12044=EDGE_LOOP('',(#12040,#12041,#12042,#12043)); +#12045=FACE_OUTER_BOUND('',#12044,.F.); +#12046=ADVANCED_FACE('',(#12045),#12039,.T.); +#12047=ORIENTED_EDGE('',*,*,#19350,.F.); +#12048=ORIENTED_EDGE('',*,*,#19353,.F.); +#12049=ORIENTED_EDGE('',*,*,#19355,.F.); +#12050=ORIENTED_EDGE('',*,*,#19356,.F.); +#12051=EDGE_LOOP('',(#12047,#12048,#12049,#12050)); +#12052=FACE_OUTER_BOUND('',#12051,.F.); +#12053=ADVANCED_FACE('',(#12052),#7485,.T.); +#12054=CARTESIAN_POINT('',(4.13E0,4.13E0,-1.055E1)); +#12055=AXIS2_PLACEMENT_3D('',#12054,#84,#72); +#12056=PLANE('',#12055); +#12057=ORIENTED_EDGE('',*,*,#18056,.T.); +#12058=ORIENTED_EDGE('',*,*,#19357,.T.); +#12059=ORIENTED_EDGE('',*,*,#19358,.T.); +#12060=ORIENTED_EDGE('',*,*,#19359,.F.); +#12061=ORIENTED_EDGE('',*,*,#18222,.F.); +#12062=EDGE_LOOP('',(#12057,#12058,#12059,#12060,#12061)); +#12063=FACE_OUTER_BOUND('',#12062,.F.); +#12064=ADVANCED_FACE('',(#12063),#12056,.T.); +#12065=CARTESIAN_POINT('',(3.49E0,4.13E0,-1.055E1)); +#12066=AXIS2_PLACEMENT_3D('',#12065,#80,#84); +#12067=PLANE('',#12066); +#12068=ORIENTED_EDGE('',*,*,#18055,.F.); +#12069=ORIENTED_EDGE('',*,*,#19360,.T.); +#12070=ORIENTED_EDGE('',*,*,#19361,.T.); +#12071=ORIENTED_EDGE('',*,*,#19357,.F.); +#12072=EDGE_LOOP('',(#12068,#12069,#12070,#12071)); +#12073=FACE_OUTER_BOUND('',#12072,.F.); +#12074=ADVANCED_FACE('',(#12073),#12067,.T.); +#12075=AXIS2_PLACEMENT_3D('',#3208,#76,#80); +#12076=PLANE('',#12075); +#12077=ORIENTED_EDGE('',*,*,#18054,.F.); +#12078=ORIENTED_EDGE('',*,*,#18224,.T.); +#12079=ORIENTED_EDGE('',*,*,#19362,.T.); +#12080=ORIENTED_EDGE('',*,*,#19363,.T.); +#12081=ORIENTED_EDGE('',*,*,#19360,.F.); +#12082=EDGE_LOOP('',(#12077,#12078,#12079,#12080,#12081)); +#12083=FACE_OUTER_BOUND('',#12082,.F.); +#12084=ADVANCED_FACE('',(#12083),#12076,.T.); +#12085=AXIS2_PLACEMENT_3D('',#1543,#72,#76); +#12086=PLANE('',#12085); +#12087=ORIENTED_EDGE('',*,*,#18223,.F.); +#12088=ORIENTED_EDGE('',*,*,#19359,.T.); +#12089=ORIENTED_EDGE('',*,*,#19364,.T.); +#12090=ORIENTED_EDGE('',*,*,#19362,.F.); +#12091=EDGE_LOOP('',(#12087,#12088,#12089,#12090)); +#12092=FACE_OUTER_BOUND('',#12091,.F.); +#12093=ADVANCED_FACE('',(#12092),#12086,.T.); +#12094=ORIENTED_EDGE('',*,*,#19358,.F.); +#12095=ORIENTED_EDGE('',*,*,#19361,.F.); +#12096=ORIENTED_EDGE('',*,*,#19363,.F.); +#12097=ORIENTED_EDGE('',*,*,#19364,.F.); +#12098=EDGE_LOOP('',(#12094,#12095,#12096,#12097)); +#12099=FACE_OUTER_BOUND('',#12098,.F.); +#12100=ADVANCED_FACE('',(#12099),#7485,.T.); +#12101=CARTESIAN_POINT('',(1.59E0,4.13E0,-1.055E1)); +#12102=AXIS2_PLACEMENT_3D('',#12101,#84,#72); +#12103=PLANE('',#12102); +#12104=ORIENTED_EDGE('',*,*,#18052,.T.); +#12105=ORIENTED_EDGE('',*,*,#19365,.T.); +#12106=ORIENTED_EDGE('',*,*,#19366,.T.); +#12107=ORIENTED_EDGE('',*,*,#19367,.F.); +#12108=ORIENTED_EDGE('',*,*,#18225,.F.); +#12109=EDGE_LOOP('',(#12104,#12105,#12106,#12107,#12108)); +#12110=FACE_OUTER_BOUND('',#12109,.F.); +#12111=ADVANCED_FACE('',(#12110),#12103,.T.); +#12112=CARTESIAN_POINT('',(9.5E-1,4.13E0,-1.055E1)); +#12113=AXIS2_PLACEMENT_3D('',#12112,#80,#84); +#12114=PLANE('',#12113); +#12115=ORIENTED_EDGE('',*,*,#18051,.F.); +#12116=ORIENTED_EDGE('',*,*,#19368,.T.); +#12117=ORIENTED_EDGE('',*,*,#19369,.T.); +#12118=ORIENTED_EDGE('',*,*,#19365,.F.); +#12119=EDGE_LOOP('',(#12115,#12116,#12117,#12118)); +#12120=FACE_OUTER_BOUND('',#12119,.F.); +#12121=ADVANCED_FACE('',(#12120),#12114,.T.); +#12122=AXIS2_PLACEMENT_3D('',#3222,#76,#80); +#12123=PLANE('',#12122); +#12124=ORIENTED_EDGE('',*,*,#18050,.F.); +#12125=ORIENTED_EDGE('',*,*,#18227,.T.); +#12126=ORIENTED_EDGE('',*,*,#19370,.T.); +#12127=ORIENTED_EDGE('',*,*,#19371,.T.); +#12128=ORIENTED_EDGE('',*,*,#19368,.F.); +#12129=EDGE_LOOP('',(#12124,#12125,#12126,#12127,#12128)); +#12130=FACE_OUTER_BOUND('',#12129,.F.); +#12131=ADVANCED_FACE('',(#12130),#12123,.T.); +#12132=AXIS2_PLACEMENT_3D('',#1548,#72,#76); +#12133=PLANE('',#12132); +#12134=ORIENTED_EDGE('',*,*,#18226,.F.); +#12135=ORIENTED_EDGE('',*,*,#19367,.T.); +#12136=ORIENTED_EDGE('',*,*,#19372,.T.); +#12137=ORIENTED_EDGE('',*,*,#19370,.F.); +#12138=EDGE_LOOP('',(#12134,#12135,#12136,#12137)); +#12139=FACE_OUTER_BOUND('',#12138,.F.); +#12140=ADVANCED_FACE('',(#12139),#12133,.T.); +#12141=ORIENTED_EDGE('',*,*,#19366,.F.); +#12142=ORIENTED_EDGE('',*,*,#19369,.F.); +#12143=ORIENTED_EDGE('',*,*,#19371,.F.); +#12144=ORIENTED_EDGE('',*,*,#19372,.F.); +#12145=EDGE_LOOP('',(#12141,#12142,#12143,#12144)); +#12146=FACE_OUTER_BOUND('',#12145,.F.); +#12147=ADVANCED_FACE('',(#12146),#7485,.T.); +#12148=CARTESIAN_POINT('',(-9.5E-1,4.13E0,-1.055E1)); +#12149=AXIS2_PLACEMENT_3D('',#12148,#84,#72); +#12150=PLANE('',#12149); +#12151=ORIENTED_EDGE('',*,*,#18048,.T.); +#12152=ORIENTED_EDGE('',*,*,#19373,.T.); +#12153=ORIENTED_EDGE('',*,*,#19374,.T.); +#12154=ORIENTED_EDGE('',*,*,#19375,.F.); +#12155=ORIENTED_EDGE('',*,*,#18228,.F.); +#12156=EDGE_LOOP('',(#12151,#12152,#12153,#12154,#12155)); +#12157=FACE_OUTER_BOUND('',#12156,.F.); +#12158=ADVANCED_FACE('',(#12157),#12150,.T.); +#12159=CARTESIAN_POINT('',(-1.59E0,4.13E0,-1.055E1)); +#12160=AXIS2_PLACEMENT_3D('',#12159,#80,#84); +#12161=PLANE('',#12160); +#12162=ORIENTED_EDGE('',*,*,#18047,.F.); +#12163=ORIENTED_EDGE('',*,*,#19376,.T.); +#12164=ORIENTED_EDGE('',*,*,#19377,.T.); +#12165=ORIENTED_EDGE('',*,*,#19373,.F.); +#12166=EDGE_LOOP('',(#12162,#12163,#12164,#12165)); +#12167=FACE_OUTER_BOUND('',#12166,.F.); +#12168=ADVANCED_FACE('',(#12167),#12161,.T.); +#12169=AXIS2_PLACEMENT_3D('',#3236,#76,#80); +#12170=PLANE('',#12169); +#12171=ORIENTED_EDGE('',*,*,#18046,.F.); +#12172=ORIENTED_EDGE('',*,*,#18230,.T.); +#12173=ORIENTED_EDGE('',*,*,#19378,.T.); +#12174=ORIENTED_EDGE('',*,*,#19379,.T.); +#12175=ORIENTED_EDGE('',*,*,#19376,.F.); +#12176=EDGE_LOOP('',(#12171,#12172,#12173,#12174,#12175)); +#12177=FACE_OUTER_BOUND('',#12176,.F.); +#12178=ADVANCED_FACE('',(#12177),#12170,.T.); +#12179=AXIS2_PLACEMENT_3D('',#1553,#72,#76); +#12180=PLANE('',#12179); +#12181=ORIENTED_EDGE('',*,*,#18229,.F.); +#12182=ORIENTED_EDGE('',*,*,#19375,.T.); +#12183=ORIENTED_EDGE('',*,*,#19380,.T.); +#12184=ORIENTED_EDGE('',*,*,#19378,.F.); +#12185=EDGE_LOOP('',(#12181,#12182,#12183,#12184)); +#12186=FACE_OUTER_BOUND('',#12185,.F.); +#12187=ADVANCED_FACE('',(#12186),#12180,.T.); +#12188=ORIENTED_EDGE('',*,*,#19374,.F.); +#12189=ORIENTED_EDGE('',*,*,#19377,.F.); +#12190=ORIENTED_EDGE('',*,*,#19379,.F.); +#12191=ORIENTED_EDGE('',*,*,#19380,.F.); +#12192=EDGE_LOOP('',(#12188,#12189,#12190,#12191)); +#12193=FACE_OUTER_BOUND('',#12192,.F.); +#12194=ADVANCED_FACE('',(#12193),#7485,.T.); +#12195=CARTESIAN_POINT('',(-3.49E0,4.13E0,-1.055E1)); +#12196=AXIS2_PLACEMENT_3D('',#12195,#84,#72); +#12197=PLANE('',#12196); +#12198=ORIENTED_EDGE('',*,*,#18044,.T.); +#12199=ORIENTED_EDGE('',*,*,#19381,.T.); +#12200=ORIENTED_EDGE('',*,*,#19382,.T.); +#12201=ORIENTED_EDGE('',*,*,#19383,.F.); +#12202=ORIENTED_EDGE('',*,*,#18231,.F.); +#12203=EDGE_LOOP('',(#12198,#12199,#12200,#12201,#12202)); +#12204=FACE_OUTER_BOUND('',#12203,.F.); +#12205=ADVANCED_FACE('',(#12204),#12197,.T.); +#12206=CARTESIAN_POINT('',(-4.13E0,4.13E0,-1.055E1)); +#12207=AXIS2_PLACEMENT_3D('',#12206,#80,#84); +#12208=PLANE('',#12207); +#12209=ORIENTED_EDGE('',*,*,#18043,.F.); +#12210=ORIENTED_EDGE('',*,*,#19384,.T.); +#12211=ORIENTED_EDGE('',*,*,#19385,.T.); +#12212=ORIENTED_EDGE('',*,*,#19381,.F.); +#12213=EDGE_LOOP('',(#12209,#12210,#12211,#12212)); +#12214=FACE_OUTER_BOUND('',#12213,.F.); +#12215=ADVANCED_FACE('',(#12214),#12208,.T.); +#12216=AXIS2_PLACEMENT_3D('',#3250,#76,#80); +#12217=PLANE('',#12216); +#12218=ORIENTED_EDGE('',*,*,#18042,.F.); +#12219=ORIENTED_EDGE('',*,*,#18233,.T.); +#12220=ORIENTED_EDGE('',*,*,#19386,.T.); +#12221=ORIENTED_EDGE('',*,*,#19387,.T.); +#12222=ORIENTED_EDGE('',*,*,#19384,.F.); +#12223=EDGE_LOOP('',(#12218,#12219,#12220,#12221,#12222)); +#12224=FACE_OUTER_BOUND('',#12223,.F.); +#12225=ADVANCED_FACE('',(#12224),#12217,.T.); +#12226=AXIS2_PLACEMENT_3D('',#1558,#72,#76); +#12227=PLANE('',#12226); +#12228=ORIENTED_EDGE('',*,*,#18232,.F.); +#12229=ORIENTED_EDGE('',*,*,#19383,.T.); +#12230=ORIENTED_EDGE('',*,*,#19388,.T.); +#12231=ORIENTED_EDGE('',*,*,#19386,.F.); +#12232=EDGE_LOOP('',(#12228,#12229,#12230,#12231)); +#12233=FACE_OUTER_BOUND('',#12232,.F.); +#12234=ADVANCED_FACE('',(#12233),#12227,.T.); +#12235=ORIENTED_EDGE('',*,*,#19382,.F.); +#12236=ORIENTED_EDGE('',*,*,#19385,.F.); +#12237=ORIENTED_EDGE('',*,*,#19387,.F.); +#12238=ORIENTED_EDGE('',*,*,#19388,.F.); +#12239=EDGE_LOOP('',(#12235,#12236,#12237,#12238)); +#12240=FACE_OUTER_BOUND('',#12239,.F.); +#12241=ADVANCED_FACE('',(#12240),#7485,.T.); +#12242=CARTESIAN_POINT('',(-6.03E0,4.13E0,-1.055E1)); +#12243=AXIS2_PLACEMENT_3D('',#12242,#84,#72); +#12244=PLANE('',#12243); +#12245=ORIENTED_EDGE('',*,*,#18040,.T.); +#12246=ORIENTED_EDGE('',*,*,#19389,.T.); +#12247=ORIENTED_EDGE('',*,*,#19390,.T.); +#12248=ORIENTED_EDGE('',*,*,#19391,.F.); +#12249=ORIENTED_EDGE('',*,*,#18234,.F.); +#12250=EDGE_LOOP('',(#12245,#12246,#12247,#12248,#12249)); +#12251=FACE_OUTER_BOUND('',#12250,.F.); +#12252=ADVANCED_FACE('',(#12251),#12244,.T.); +#12253=CARTESIAN_POINT('',(-6.67E0,4.13E0,-1.055E1)); +#12254=AXIS2_PLACEMENT_3D('',#12253,#80,#84); +#12255=PLANE('',#12254); +#12256=ORIENTED_EDGE('',*,*,#18039,.F.); +#12257=ORIENTED_EDGE('',*,*,#19392,.T.); +#12258=ORIENTED_EDGE('',*,*,#19393,.T.); +#12259=ORIENTED_EDGE('',*,*,#19389,.F.); +#12260=EDGE_LOOP('',(#12256,#12257,#12258,#12259)); +#12261=FACE_OUTER_BOUND('',#12260,.F.); +#12262=ADVANCED_FACE('',(#12261),#12255,.T.); +#12263=AXIS2_PLACEMENT_3D('',#3264,#76,#80); +#12264=PLANE('',#12263); +#12265=ORIENTED_EDGE('',*,*,#18038,.F.); +#12266=ORIENTED_EDGE('',*,*,#18236,.T.); +#12267=ORIENTED_EDGE('',*,*,#19394,.T.); +#12268=ORIENTED_EDGE('',*,*,#19395,.T.); +#12269=ORIENTED_EDGE('',*,*,#19392,.F.); +#12270=EDGE_LOOP('',(#12265,#12266,#12267,#12268,#12269)); +#12271=FACE_OUTER_BOUND('',#12270,.F.); +#12272=ADVANCED_FACE('',(#12271),#12264,.T.); +#12273=AXIS2_PLACEMENT_3D('',#1563,#72,#76); +#12274=PLANE('',#12273); +#12275=ORIENTED_EDGE('',*,*,#18235,.F.); +#12276=ORIENTED_EDGE('',*,*,#19391,.T.); +#12277=ORIENTED_EDGE('',*,*,#19396,.T.); +#12278=ORIENTED_EDGE('',*,*,#19394,.F.); +#12279=EDGE_LOOP('',(#12275,#12276,#12277,#12278)); +#12280=FACE_OUTER_BOUND('',#12279,.F.); +#12281=ADVANCED_FACE('',(#12280),#12274,.T.); +#12282=ORIENTED_EDGE('',*,*,#19390,.F.); +#12283=ORIENTED_EDGE('',*,*,#19393,.F.); +#12284=ORIENTED_EDGE('',*,*,#19395,.F.); +#12285=ORIENTED_EDGE('',*,*,#19396,.F.); +#12286=EDGE_LOOP('',(#12282,#12283,#12284,#12285)); +#12287=FACE_OUTER_BOUND('',#12286,.F.); +#12288=ADVANCED_FACE('',(#12287),#7485,.T.); +#12289=CARTESIAN_POINT('',(-8.57E0,4.13E0,-1.055E1)); +#12290=AXIS2_PLACEMENT_3D('',#12289,#84,#72); +#12291=PLANE('',#12290); +#12292=ORIENTED_EDGE('',*,*,#18036,.T.); +#12293=ORIENTED_EDGE('',*,*,#19397,.T.); +#12294=ORIENTED_EDGE('',*,*,#19398,.T.); +#12295=ORIENTED_EDGE('',*,*,#19399,.F.); +#12296=ORIENTED_EDGE('',*,*,#18237,.F.); +#12297=EDGE_LOOP('',(#12292,#12293,#12294,#12295,#12296)); +#12298=FACE_OUTER_BOUND('',#12297,.F.); +#12299=ADVANCED_FACE('',(#12298),#12291,.T.); +#12300=CARTESIAN_POINT('',(-9.21E0,4.13E0,-1.055E1)); +#12301=AXIS2_PLACEMENT_3D('',#12300,#80,#84); +#12302=PLANE('',#12301); +#12303=ORIENTED_EDGE('',*,*,#18035,.F.); +#12304=ORIENTED_EDGE('',*,*,#19400,.T.); +#12305=ORIENTED_EDGE('',*,*,#19401,.T.); +#12306=ORIENTED_EDGE('',*,*,#19397,.F.); +#12307=EDGE_LOOP('',(#12303,#12304,#12305,#12306)); +#12308=FACE_OUTER_BOUND('',#12307,.F.); +#12309=ADVANCED_FACE('',(#12308),#12302,.T.); +#12310=AXIS2_PLACEMENT_3D('',#3278,#76,#80); +#12311=PLANE('',#12310); +#12312=ORIENTED_EDGE('',*,*,#18034,.F.); +#12313=ORIENTED_EDGE('',*,*,#18239,.T.); +#12314=ORIENTED_EDGE('',*,*,#19402,.T.); +#12315=ORIENTED_EDGE('',*,*,#19403,.T.); +#12316=ORIENTED_EDGE('',*,*,#19400,.F.); +#12317=EDGE_LOOP('',(#12312,#12313,#12314,#12315,#12316)); +#12318=FACE_OUTER_BOUND('',#12317,.F.); +#12319=ADVANCED_FACE('',(#12318),#12311,.T.); +#12320=AXIS2_PLACEMENT_3D('',#1568,#72,#76); +#12321=PLANE('',#12320); +#12322=ORIENTED_EDGE('',*,*,#18238,.F.); +#12323=ORIENTED_EDGE('',*,*,#19399,.T.); +#12324=ORIENTED_EDGE('',*,*,#19404,.T.); +#12325=ORIENTED_EDGE('',*,*,#19402,.F.); +#12326=EDGE_LOOP('',(#12322,#12323,#12324,#12325)); +#12327=FACE_OUTER_BOUND('',#12326,.F.); +#12328=ADVANCED_FACE('',(#12327),#12321,.T.); +#12329=ORIENTED_EDGE('',*,*,#19398,.F.); +#12330=ORIENTED_EDGE('',*,*,#19401,.F.); +#12331=ORIENTED_EDGE('',*,*,#19403,.F.); +#12332=ORIENTED_EDGE('',*,*,#19404,.F.); +#12333=EDGE_LOOP('',(#12329,#12330,#12331,#12332)); +#12334=FACE_OUTER_BOUND('',#12333,.F.); +#12335=ADVANCED_FACE('',(#12334),#7485,.T.); +#12336=CARTESIAN_POINT('',(-1.111E1,4.13E0,-1.055E1)); +#12337=AXIS2_PLACEMENT_3D('',#12336,#84,#72); +#12338=PLANE('',#12337); +#12339=ORIENTED_EDGE('',*,*,#18032,.T.); +#12340=ORIENTED_EDGE('',*,*,#19405,.T.); +#12341=ORIENTED_EDGE('',*,*,#19406,.T.); +#12342=ORIENTED_EDGE('',*,*,#19407,.F.); +#12343=ORIENTED_EDGE('',*,*,#18240,.F.); +#12344=EDGE_LOOP('',(#12339,#12340,#12341,#12342,#12343)); +#12345=FACE_OUTER_BOUND('',#12344,.F.); +#12346=ADVANCED_FACE('',(#12345),#12338,.T.); +#12347=CARTESIAN_POINT('',(-1.175E1,4.13E0,-1.055E1)); +#12348=AXIS2_PLACEMENT_3D('',#12347,#80,#84); +#12349=PLANE('',#12348); +#12350=ORIENTED_EDGE('',*,*,#18031,.F.); +#12351=ORIENTED_EDGE('',*,*,#19408,.T.); +#12352=ORIENTED_EDGE('',*,*,#19409,.T.); +#12353=ORIENTED_EDGE('',*,*,#19405,.F.); +#12354=EDGE_LOOP('',(#12350,#12351,#12352,#12353)); +#12355=FACE_OUTER_BOUND('',#12354,.F.); +#12356=ADVANCED_FACE('',(#12355),#12349,.T.); +#12357=AXIS2_PLACEMENT_3D('',#3292,#76,#80); +#12358=PLANE('',#12357); +#12359=ORIENTED_EDGE('',*,*,#18030,.F.); +#12360=ORIENTED_EDGE('',*,*,#18242,.T.); +#12361=ORIENTED_EDGE('',*,*,#19410,.T.); +#12362=ORIENTED_EDGE('',*,*,#19411,.T.); +#12363=ORIENTED_EDGE('',*,*,#19408,.F.); +#12364=EDGE_LOOP('',(#12359,#12360,#12361,#12362,#12363)); +#12365=FACE_OUTER_BOUND('',#12364,.F.); +#12366=ADVANCED_FACE('',(#12365),#12358,.T.); +#12367=AXIS2_PLACEMENT_3D('',#1573,#72,#76); +#12368=PLANE('',#12367); +#12369=ORIENTED_EDGE('',*,*,#18241,.F.); +#12370=ORIENTED_EDGE('',*,*,#19407,.T.); +#12371=ORIENTED_EDGE('',*,*,#19412,.T.); +#12372=ORIENTED_EDGE('',*,*,#19410,.F.); +#12373=EDGE_LOOP('',(#12369,#12370,#12371,#12372)); +#12374=FACE_OUTER_BOUND('',#12373,.F.); +#12375=ADVANCED_FACE('',(#12374),#12368,.T.); +#12376=ORIENTED_EDGE('',*,*,#19406,.F.); +#12377=ORIENTED_EDGE('',*,*,#19409,.F.); +#12378=ORIENTED_EDGE('',*,*,#19411,.F.); +#12379=ORIENTED_EDGE('',*,*,#19412,.F.); +#12380=EDGE_LOOP('',(#12376,#12377,#12378,#12379)); +#12381=FACE_OUTER_BOUND('',#12380,.F.); +#12382=ADVANCED_FACE('',(#12381),#7485,.T.); +#12383=CARTESIAN_POINT('',(-1.365E1,4.13E0,-1.055E1)); +#12384=AXIS2_PLACEMENT_3D('',#12383,#84,#72); +#12385=PLANE('',#12384); +#12386=ORIENTED_EDGE('',*,*,#18028,.T.); +#12387=ORIENTED_EDGE('',*,*,#19413,.T.); +#12388=ORIENTED_EDGE('',*,*,#19414,.T.); +#12389=ORIENTED_EDGE('',*,*,#19415,.F.); +#12390=ORIENTED_EDGE('',*,*,#18243,.F.); +#12391=EDGE_LOOP('',(#12386,#12387,#12388,#12389,#12390)); +#12392=FACE_OUTER_BOUND('',#12391,.F.); +#12393=ADVANCED_FACE('',(#12392),#12385,.T.); +#12394=CARTESIAN_POINT('',(-1.429E1,4.13E0,-1.055E1)); +#12395=AXIS2_PLACEMENT_3D('',#12394,#80,#84); +#12396=PLANE('',#12395); +#12397=ORIENTED_EDGE('',*,*,#18027,.F.); +#12398=ORIENTED_EDGE('',*,*,#19416,.T.); +#12399=ORIENTED_EDGE('',*,*,#19417,.T.); +#12400=ORIENTED_EDGE('',*,*,#19413,.F.); +#12401=EDGE_LOOP('',(#12397,#12398,#12399,#12400)); +#12402=FACE_OUTER_BOUND('',#12401,.F.); +#12403=ADVANCED_FACE('',(#12402),#12396,.T.); +#12404=AXIS2_PLACEMENT_3D('',#3306,#76,#80); +#12405=PLANE('',#12404); +#12406=ORIENTED_EDGE('',*,*,#18026,.F.); +#12407=ORIENTED_EDGE('',*,*,#18245,.T.); +#12408=ORIENTED_EDGE('',*,*,#19418,.T.); +#12409=ORIENTED_EDGE('',*,*,#19419,.T.); +#12410=ORIENTED_EDGE('',*,*,#19416,.F.); +#12411=EDGE_LOOP('',(#12406,#12407,#12408,#12409,#12410)); +#12412=FACE_OUTER_BOUND('',#12411,.F.); +#12413=ADVANCED_FACE('',(#12412),#12405,.T.); +#12414=AXIS2_PLACEMENT_3D('',#1578,#72,#76); +#12415=PLANE('',#12414); +#12416=ORIENTED_EDGE('',*,*,#18244,.F.); +#12417=ORIENTED_EDGE('',*,*,#19415,.T.); +#12418=ORIENTED_EDGE('',*,*,#19420,.T.); +#12419=ORIENTED_EDGE('',*,*,#19418,.F.); +#12420=EDGE_LOOP('',(#12416,#12417,#12418,#12419)); +#12421=FACE_OUTER_BOUND('',#12420,.F.); +#12422=ADVANCED_FACE('',(#12421),#12415,.T.); +#12423=ORIENTED_EDGE('',*,*,#19414,.F.); +#12424=ORIENTED_EDGE('',*,*,#19417,.F.); +#12425=ORIENTED_EDGE('',*,*,#19419,.F.); +#12426=ORIENTED_EDGE('',*,*,#19420,.F.); +#12427=EDGE_LOOP('',(#12423,#12424,#12425,#12426)); +#12428=FACE_OUTER_BOUND('',#12427,.F.); +#12429=ADVANCED_FACE('',(#12428),#7485,.T.); +#12430=CARTESIAN_POINT('',(-3.9925E1,3.81E0,-1.9E-1)); +#12431=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#12432=AXIS2_PLACEMENT_3D('',#12430,#12431,#72); +#12433=PLANE('',#12432); +#12434=ORIENTED_EDGE('',*,*,#17596,.F.); +#12435=ORIENTED_EDGE('',*,*,#19421,.F.); +#12436=ORIENTED_EDGE('',*,*,#19422,.T.); +#12437=ORIENTED_EDGE('',*,*,#19423,.T.); +#12438=EDGE_LOOP('',(#12434,#12435,#12436,#12437)); +#12439=FACE_OUTER_BOUND('',#12438,.F.); +#12440=ADVANCED_FACE('',(#12439),#12433,.F.); +#12441=CARTESIAN_POINT('',(-3.937E1,4.3625E0,-1.9E-1)); +#12442=DIRECTION('',(0.E0,7.024701641225E-1,-7.117131925978E-1)); +#12443=AXIS2_PLACEMENT_3D('',#12441,#12442,#76); +#12444=PLANE('',#12443); +#12445=ORIENTED_EDGE('',*,*,#17599,.F.); +#12446=ORIENTED_EDGE('',*,*,#19424,.F.); +#12447=ORIENTED_EDGE('',*,*,#19425,.T.); +#12448=ORIENTED_EDGE('',*,*,#19421,.T.); +#12449=EDGE_LOOP('',(#12445,#12446,#12447,#12448)); +#12450=FACE_OUTER_BOUND('',#12449,.F.); +#12451=ADVANCED_FACE('',(#12450),#12444,.F.); +#12452=CARTESIAN_POINT('',(-3.8815E1,3.81E0,-1.9E-1)); +#12453=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#12454=AXIS2_PLACEMENT_3D('',#12452,#12453,#80); +#12455=PLANE('',#12454); +#12456=ORIENTED_EDGE('',*,*,#17598,.F.); +#12457=ORIENTED_EDGE('',*,*,#19426,.F.); +#12458=ORIENTED_EDGE('',*,*,#19427,.T.); +#12459=ORIENTED_EDGE('',*,*,#19424,.T.); +#12460=EDGE_LOOP('',(#12456,#12457,#12458,#12459)); +#12461=FACE_OUTER_BOUND('',#12460,.F.); +#12462=ADVANCED_FACE('',(#12461),#12455,.F.); +#12463=CARTESIAN_POINT('',(-3.937E1,3.2575E0,-1.9E-1)); +#12464=DIRECTION('',(0.E0,-7.024701641225E-1,-7.117131925978E-1)); +#12465=AXIS2_PLACEMENT_3D('',#12463,#12464,#84); +#12466=PLANE('',#12465); +#12467=ORIENTED_EDGE('',*,*,#17597,.F.); +#12468=ORIENTED_EDGE('',*,*,#19423,.F.); +#12469=ORIENTED_EDGE('',*,*,#19428,.T.); +#12470=ORIENTED_EDGE('',*,*,#19426,.T.); +#12471=EDGE_LOOP('',(#12467,#12468,#12469,#12470)); +#12472=FACE_OUTER_BOUND('',#12471,.F.); +#12473=ADVANCED_FACE('',(#12472),#12466,.F.); +#12474=CARTESIAN_POINT('',(0.E0,0.E0,-3.8E-1)); +#12475=AXIS2_PLACEMENT_3D('',#12474,#2100,#84); +#12476=PLANE('',#12475); +#12477=ORIENTED_EDGE('',*,*,#19422,.F.); +#12478=ORIENTED_EDGE('',*,*,#19425,.F.); +#12479=ORIENTED_EDGE('',*,*,#19427,.F.); +#12480=ORIENTED_EDGE('',*,*,#19428,.F.); +#12481=EDGE_LOOP('',(#12477,#12478,#12479,#12480)); +#12482=FACE_OUTER_BOUND('',#12481,.F.); +#12483=ADVANCED_FACE('',(#12482),#12476,.T.); +#12484=CARTESIAN_POINT('',(-3.7385E1,3.81E0,-1.9E-1)); +#12485=AXIS2_PLACEMENT_3D('',#12484,#12431,#72); +#12486=PLANE('',#12485); +#12487=ORIENTED_EDGE('',*,*,#17600,.F.); +#12488=ORIENTED_EDGE('',*,*,#19429,.F.); +#12489=ORIENTED_EDGE('',*,*,#19430,.T.); +#12490=ORIENTED_EDGE('',*,*,#19431,.T.); +#12491=EDGE_LOOP('',(#12487,#12488,#12489,#12490)); +#12492=FACE_OUTER_BOUND('',#12491,.F.); +#12493=ADVANCED_FACE('',(#12492),#12486,.F.); +#12494=CARTESIAN_POINT('',(-3.683E1,4.3625E0,-1.9E-1)); +#12495=AXIS2_PLACEMENT_3D('',#12494,#12442,#76); +#12496=PLANE('',#12495); +#12497=ORIENTED_EDGE('',*,*,#17603,.F.); +#12498=ORIENTED_EDGE('',*,*,#19432,.F.); +#12499=ORIENTED_EDGE('',*,*,#19433,.T.); +#12500=ORIENTED_EDGE('',*,*,#19429,.T.); +#12501=EDGE_LOOP('',(#12497,#12498,#12499,#12500)); +#12502=FACE_OUTER_BOUND('',#12501,.F.); +#12503=ADVANCED_FACE('',(#12502),#12496,.F.); +#12504=CARTESIAN_POINT('',(-3.6275E1,3.81E0,-1.9E-1)); +#12505=AXIS2_PLACEMENT_3D('',#12504,#12453,#80); +#12506=PLANE('',#12505); +#12507=ORIENTED_EDGE('',*,*,#17602,.F.); +#12508=ORIENTED_EDGE('',*,*,#19434,.F.); +#12509=ORIENTED_EDGE('',*,*,#19435,.T.); +#12510=ORIENTED_EDGE('',*,*,#19432,.T.); +#12511=EDGE_LOOP('',(#12507,#12508,#12509,#12510)); +#12512=FACE_OUTER_BOUND('',#12511,.F.); +#12513=ADVANCED_FACE('',(#12512),#12506,.F.); +#12514=CARTESIAN_POINT('',(-3.683E1,3.2575E0,-1.9E-1)); +#12515=AXIS2_PLACEMENT_3D('',#12514,#12464,#84); +#12516=PLANE('',#12515); +#12517=ORIENTED_EDGE('',*,*,#17601,.F.); +#12518=ORIENTED_EDGE('',*,*,#19431,.F.); +#12519=ORIENTED_EDGE('',*,*,#19436,.T.); +#12520=ORIENTED_EDGE('',*,*,#19434,.T.); +#12521=EDGE_LOOP('',(#12517,#12518,#12519,#12520)); +#12522=FACE_OUTER_BOUND('',#12521,.F.); +#12523=ADVANCED_FACE('',(#12522),#12516,.F.); +#12524=CARTESIAN_POINT('',(2.54E0,0.E0,-3.8E-1)); +#12525=AXIS2_PLACEMENT_3D('',#12524,#2100,#84); +#12526=PLANE('',#12525); +#12527=ORIENTED_EDGE('',*,*,#19430,.F.); +#12528=ORIENTED_EDGE('',*,*,#19433,.F.); +#12529=ORIENTED_EDGE('',*,*,#19435,.F.); +#12530=ORIENTED_EDGE('',*,*,#19436,.F.); +#12531=EDGE_LOOP('',(#12527,#12528,#12529,#12530)); +#12532=FACE_OUTER_BOUND('',#12531,.F.); +#12533=ADVANCED_FACE('',(#12532),#12526,.T.); +#12534=CARTESIAN_POINT('',(-3.4845E1,3.81E0,-1.9E-1)); +#12535=AXIS2_PLACEMENT_3D('',#12534,#12431,#72); +#12536=PLANE('',#12535); +#12537=ORIENTED_EDGE('',*,*,#17604,.F.); +#12538=ORIENTED_EDGE('',*,*,#19437,.F.); +#12539=ORIENTED_EDGE('',*,*,#19438,.T.); +#12540=ORIENTED_EDGE('',*,*,#19439,.T.); +#12541=EDGE_LOOP('',(#12537,#12538,#12539,#12540)); +#12542=FACE_OUTER_BOUND('',#12541,.F.); +#12543=ADVANCED_FACE('',(#12542),#12536,.F.); +#12544=CARTESIAN_POINT('',(-3.429E1,4.3625E0,-1.9E-1)); +#12545=AXIS2_PLACEMENT_3D('',#12544,#12442,#76); +#12546=PLANE('',#12545); +#12547=ORIENTED_EDGE('',*,*,#17607,.F.); +#12548=ORIENTED_EDGE('',*,*,#19440,.F.); +#12549=ORIENTED_EDGE('',*,*,#19441,.T.); +#12550=ORIENTED_EDGE('',*,*,#19437,.T.); +#12551=EDGE_LOOP('',(#12547,#12548,#12549,#12550)); +#12552=FACE_OUTER_BOUND('',#12551,.F.); +#12553=ADVANCED_FACE('',(#12552),#12546,.F.); +#12554=CARTESIAN_POINT('',(-3.3735E1,3.81E0,-1.9E-1)); +#12555=AXIS2_PLACEMENT_3D('',#12554,#12453,#80); +#12556=PLANE('',#12555); +#12557=ORIENTED_EDGE('',*,*,#17606,.F.); +#12558=ORIENTED_EDGE('',*,*,#19442,.F.); +#12559=ORIENTED_EDGE('',*,*,#19443,.T.); +#12560=ORIENTED_EDGE('',*,*,#19440,.T.); +#12561=EDGE_LOOP('',(#12557,#12558,#12559,#12560)); +#12562=FACE_OUTER_BOUND('',#12561,.F.); +#12563=ADVANCED_FACE('',(#12562),#12556,.F.); +#12564=CARTESIAN_POINT('',(-3.429E1,3.2575E0,-1.9E-1)); +#12565=AXIS2_PLACEMENT_3D('',#12564,#12464,#84); +#12566=PLANE('',#12565); +#12567=ORIENTED_EDGE('',*,*,#17605,.F.); +#12568=ORIENTED_EDGE('',*,*,#19439,.F.); +#12569=ORIENTED_EDGE('',*,*,#19444,.T.); +#12570=ORIENTED_EDGE('',*,*,#19442,.T.); +#12571=EDGE_LOOP('',(#12567,#12568,#12569,#12570)); +#12572=FACE_OUTER_BOUND('',#12571,.F.); +#12573=ADVANCED_FACE('',(#12572),#12566,.F.); +#12574=CARTESIAN_POINT('',(5.08E0,0.E0,-3.8E-1)); +#12575=AXIS2_PLACEMENT_3D('',#12574,#2100,#84); +#12576=PLANE('',#12575); +#12577=ORIENTED_EDGE('',*,*,#19438,.F.); +#12578=ORIENTED_EDGE('',*,*,#19441,.F.); +#12579=ORIENTED_EDGE('',*,*,#19443,.F.); +#12580=ORIENTED_EDGE('',*,*,#19444,.F.); +#12581=EDGE_LOOP('',(#12577,#12578,#12579,#12580)); +#12582=FACE_OUTER_BOUND('',#12581,.F.); +#12583=ADVANCED_FACE('',(#12582),#12576,.T.); +#12584=CARTESIAN_POINT('',(-3.2305E1,3.81E0,-1.9E-1)); +#12585=AXIS2_PLACEMENT_3D('',#12584,#12431,#72); +#12586=PLANE('',#12585); +#12587=ORIENTED_EDGE('',*,*,#17608,.F.); +#12588=ORIENTED_EDGE('',*,*,#19445,.F.); +#12589=ORIENTED_EDGE('',*,*,#19446,.T.); +#12590=ORIENTED_EDGE('',*,*,#19447,.T.); +#12591=EDGE_LOOP('',(#12587,#12588,#12589,#12590)); +#12592=FACE_OUTER_BOUND('',#12591,.F.); +#12593=ADVANCED_FACE('',(#12592),#12586,.F.); +#12594=CARTESIAN_POINT('',(-3.175E1,4.3625E0,-1.9E-1)); +#12595=AXIS2_PLACEMENT_3D('',#12594,#12442,#76); +#12596=PLANE('',#12595); +#12597=ORIENTED_EDGE('',*,*,#17611,.F.); +#12598=ORIENTED_EDGE('',*,*,#19448,.F.); +#12599=ORIENTED_EDGE('',*,*,#19449,.T.); +#12600=ORIENTED_EDGE('',*,*,#19445,.T.); +#12601=EDGE_LOOP('',(#12597,#12598,#12599,#12600)); +#12602=FACE_OUTER_BOUND('',#12601,.F.); +#12603=ADVANCED_FACE('',(#12602),#12596,.F.); +#12604=CARTESIAN_POINT('',(-3.1195E1,3.81E0,-1.9E-1)); +#12605=AXIS2_PLACEMENT_3D('',#12604,#12453,#80); +#12606=PLANE('',#12605); +#12607=ORIENTED_EDGE('',*,*,#17610,.F.); +#12608=ORIENTED_EDGE('',*,*,#19450,.F.); +#12609=ORIENTED_EDGE('',*,*,#19451,.T.); +#12610=ORIENTED_EDGE('',*,*,#19448,.T.); +#12611=EDGE_LOOP('',(#12607,#12608,#12609,#12610)); +#12612=FACE_OUTER_BOUND('',#12611,.F.); +#12613=ADVANCED_FACE('',(#12612),#12606,.F.); +#12614=CARTESIAN_POINT('',(-3.175E1,3.2575E0,-1.9E-1)); +#12615=AXIS2_PLACEMENT_3D('',#12614,#12464,#84); +#12616=PLANE('',#12615); +#12617=ORIENTED_EDGE('',*,*,#17609,.F.); +#12618=ORIENTED_EDGE('',*,*,#19447,.F.); +#12619=ORIENTED_EDGE('',*,*,#19452,.T.); +#12620=ORIENTED_EDGE('',*,*,#19450,.T.); +#12621=EDGE_LOOP('',(#12617,#12618,#12619,#12620)); +#12622=FACE_OUTER_BOUND('',#12621,.F.); +#12623=ADVANCED_FACE('',(#12622),#12616,.F.); +#12624=CARTESIAN_POINT('',(7.62E0,0.E0,-3.8E-1)); +#12625=AXIS2_PLACEMENT_3D('',#12624,#2100,#84); +#12626=PLANE('',#12625); +#12627=ORIENTED_EDGE('',*,*,#19446,.F.); +#12628=ORIENTED_EDGE('',*,*,#19449,.F.); +#12629=ORIENTED_EDGE('',*,*,#19451,.F.); +#12630=ORIENTED_EDGE('',*,*,#19452,.F.); +#12631=EDGE_LOOP('',(#12627,#12628,#12629,#12630)); +#12632=FACE_OUTER_BOUND('',#12631,.F.); +#12633=ADVANCED_FACE('',(#12632),#12626,.T.); +#12634=CARTESIAN_POINT('',(-2.9765E1,3.81E0,-1.9E-1)); +#12635=AXIS2_PLACEMENT_3D('',#12634,#12431,#72); +#12636=PLANE('',#12635); +#12637=ORIENTED_EDGE('',*,*,#17612,.F.); +#12638=ORIENTED_EDGE('',*,*,#19453,.F.); +#12639=ORIENTED_EDGE('',*,*,#19454,.T.); +#12640=ORIENTED_EDGE('',*,*,#19455,.T.); +#12641=EDGE_LOOP('',(#12637,#12638,#12639,#12640)); +#12642=FACE_OUTER_BOUND('',#12641,.F.); +#12643=ADVANCED_FACE('',(#12642),#12636,.F.); +#12644=CARTESIAN_POINT('',(-2.921E1,4.3625E0,-1.9E-1)); +#12645=AXIS2_PLACEMENT_3D('',#12644,#12442,#76); +#12646=PLANE('',#12645); +#12647=ORIENTED_EDGE('',*,*,#17615,.F.); +#12648=ORIENTED_EDGE('',*,*,#19456,.F.); +#12649=ORIENTED_EDGE('',*,*,#19457,.T.); +#12650=ORIENTED_EDGE('',*,*,#19453,.T.); +#12651=EDGE_LOOP('',(#12647,#12648,#12649,#12650)); +#12652=FACE_OUTER_BOUND('',#12651,.F.); +#12653=ADVANCED_FACE('',(#12652),#12646,.F.); +#12654=CARTESIAN_POINT('',(-2.8655E1,3.81E0,-1.9E-1)); +#12655=AXIS2_PLACEMENT_3D('',#12654,#12453,#80); +#12656=PLANE('',#12655); +#12657=ORIENTED_EDGE('',*,*,#17614,.F.); +#12658=ORIENTED_EDGE('',*,*,#19458,.F.); +#12659=ORIENTED_EDGE('',*,*,#19459,.T.); +#12660=ORIENTED_EDGE('',*,*,#19456,.T.); +#12661=EDGE_LOOP('',(#12657,#12658,#12659,#12660)); +#12662=FACE_OUTER_BOUND('',#12661,.F.); +#12663=ADVANCED_FACE('',(#12662),#12656,.F.); +#12664=CARTESIAN_POINT('',(-2.921E1,3.2575E0,-1.9E-1)); +#12665=AXIS2_PLACEMENT_3D('',#12664,#12464,#84); +#12666=PLANE('',#12665); +#12667=ORIENTED_EDGE('',*,*,#17613,.F.); +#12668=ORIENTED_EDGE('',*,*,#19455,.F.); +#12669=ORIENTED_EDGE('',*,*,#19460,.T.); +#12670=ORIENTED_EDGE('',*,*,#19458,.T.); +#12671=EDGE_LOOP('',(#12667,#12668,#12669,#12670)); +#12672=FACE_OUTER_BOUND('',#12671,.F.); +#12673=ADVANCED_FACE('',(#12672),#12666,.F.); +#12674=CARTESIAN_POINT('',(1.016E1,0.E0,-3.8E-1)); +#12675=AXIS2_PLACEMENT_3D('',#12674,#2100,#84); +#12676=PLANE('',#12675); +#12677=ORIENTED_EDGE('',*,*,#19454,.F.); +#12678=ORIENTED_EDGE('',*,*,#19457,.F.); +#12679=ORIENTED_EDGE('',*,*,#19459,.F.); +#12680=ORIENTED_EDGE('',*,*,#19460,.F.); +#12681=EDGE_LOOP('',(#12677,#12678,#12679,#12680)); +#12682=FACE_OUTER_BOUND('',#12681,.F.); +#12683=ADVANCED_FACE('',(#12682),#12676,.T.); +#12684=CARTESIAN_POINT('',(-2.7225E1,3.81E0,-1.9E-1)); +#12685=AXIS2_PLACEMENT_3D('',#12684,#12431,#72); +#12686=PLANE('',#12685); +#12687=ORIENTED_EDGE('',*,*,#17616,.F.); +#12688=ORIENTED_EDGE('',*,*,#19461,.F.); +#12689=ORIENTED_EDGE('',*,*,#19462,.T.); +#12690=ORIENTED_EDGE('',*,*,#19463,.T.); +#12691=EDGE_LOOP('',(#12687,#12688,#12689,#12690)); +#12692=FACE_OUTER_BOUND('',#12691,.F.); +#12693=ADVANCED_FACE('',(#12692),#12686,.F.); +#12694=CARTESIAN_POINT('',(-2.667E1,4.3625E0,-1.9E-1)); +#12695=AXIS2_PLACEMENT_3D('',#12694,#12442,#76); +#12696=PLANE('',#12695); +#12697=ORIENTED_EDGE('',*,*,#17619,.F.); +#12698=ORIENTED_EDGE('',*,*,#19464,.F.); +#12699=ORIENTED_EDGE('',*,*,#19465,.T.); +#12700=ORIENTED_EDGE('',*,*,#19461,.T.); +#12701=EDGE_LOOP('',(#12697,#12698,#12699,#12700)); +#12702=FACE_OUTER_BOUND('',#12701,.F.); +#12703=ADVANCED_FACE('',(#12702),#12696,.F.); +#12704=CARTESIAN_POINT('',(-2.6115E1,3.81E0,-1.9E-1)); +#12705=AXIS2_PLACEMENT_3D('',#12704,#12453,#80); +#12706=PLANE('',#12705); +#12707=ORIENTED_EDGE('',*,*,#17618,.F.); +#12708=ORIENTED_EDGE('',*,*,#19466,.F.); +#12709=ORIENTED_EDGE('',*,*,#19467,.T.); +#12710=ORIENTED_EDGE('',*,*,#19464,.T.); +#12711=EDGE_LOOP('',(#12707,#12708,#12709,#12710)); +#12712=FACE_OUTER_BOUND('',#12711,.F.); +#12713=ADVANCED_FACE('',(#12712),#12706,.F.); +#12714=CARTESIAN_POINT('',(-2.667E1,3.2575E0,-1.9E-1)); +#12715=AXIS2_PLACEMENT_3D('',#12714,#12464,#84); +#12716=PLANE('',#12715); +#12717=ORIENTED_EDGE('',*,*,#17617,.F.); +#12718=ORIENTED_EDGE('',*,*,#19463,.F.); +#12719=ORIENTED_EDGE('',*,*,#19468,.T.); +#12720=ORIENTED_EDGE('',*,*,#19466,.T.); +#12721=EDGE_LOOP('',(#12717,#12718,#12719,#12720)); +#12722=FACE_OUTER_BOUND('',#12721,.F.); +#12723=ADVANCED_FACE('',(#12722),#12716,.F.); +#12724=CARTESIAN_POINT('',(1.27E1,0.E0,-3.8E-1)); +#12725=AXIS2_PLACEMENT_3D('',#12724,#2100,#84); +#12726=PLANE('',#12725); +#12727=ORIENTED_EDGE('',*,*,#19462,.F.); +#12728=ORIENTED_EDGE('',*,*,#19465,.F.); +#12729=ORIENTED_EDGE('',*,*,#19467,.F.); +#12730=ORIENTED_EDGE('',*,*,#19468,.F.); +#12731=EDGE_LOOP('',(#12727,#12728,#12729,#12730)); +#12732=FACE_OUTER_BOUND('',#12731,.F.); +#12733=ADVANCED_FACE('',(#12732),#12726,.T.); +#12734=CARTESIAN_POINT('',(-2.4685E1,3.81E0,-1.9E-1)); +#12735=AXIS2_PLACEMENT_3D('',#12734,#12431,#72); +#12736=PLANE('',#12735); +#12737=ORIENTED_EDGE('',*,*,#17620,.F.); +#12738=ORIENTED_EDGE('',*,*,#19469,.F.); +#12739=ORIENTED_EDGE('',*,*,#19470,.T.); +#12740=ORIENTED_EDGE('',*,*,#19471,.T.); +#12741=EDGE_LOOP('',(#12737,#12738,#12739,#12740)); +#12742=FACE_OUTER_BOUND('',#12741,.F.); +#12743=ADVANCED_FACE('',(#12742),#12736,.F.); +#12744=CARTESIAN_POINT('',(-2.413E1,4.3625E0,-1.9E-1)); +#12745=AXIS2_PLACEMENT_3D('',#12744,#12442,#76); +#12746=PLANE('',#12745); +#12747=ORIENTED_EDGE('',*,*,#17623,.F.); +#12748=ORIENTED_EDGE('',*,*,#19472,.F.); +#12749=ORIENTED_EDGE('',*,*,#19473,.T.); +#12750=ORIENTED_EDGE('',*,*,#19469,.T.); +#12751=EDGE_LOOP('',(#12747,#12748,#12749,#12750)); +#12752=FACE_OUTER_BOUND('',#12751,.F.); +#12753=ADVANCED_FACE('',(#12752),#12746,.F.); +#12754=CARTESIAN_POINT('',(-2.3575E1,3.81E0,-1.9E-1)); +#12755=AXIS2_PLACEMENT_3D('',#12754,#12453,#80); +#12756=PLANE('',#12755); +#12757=ORIENTED_EDGE('',*,*,#17622,.F.); +#12758=ORIENTED_EDGE('',*,*,#19474,.F.); +#12759=ORIENTED_EDGE('',*,*,#19475,.T.); +#12760=ORIENTED_EDGE('',*,*,#19472,.T.); +#12761=EDGE_LOOP('',(#12757,#12758,#12759,#12760)); +#12762=FACE_OUTER_BOUND('',#12761,.F.); +#12763=ADVANCED_FACE('',(#12762),#12756,.F.); +#12764=CARTESIAN_POINT('',(-2.413E1,3.2575E0,-1.9E-1)); +#12765=AXIS2_PLACEMENT_3D('',#12764,#12464,#84); +#12766=PLANE('',#12765); +#12767=ORIENTED_EDGE('',*,*,#17621,.F.); +#12768=ORIENTED_EDGE('',*,*,#19471,.F.); +#12769=ORIENTED_EDGE('',*,*,#19476,.T.); +#12770=ORIENTED_EDGE('',*,*,#19474,.T.); +#12771=EDGE_LOOP('',(#12767,#12768,#12769,#12770)); +#12772=FACE_OUTER_BOUND('',#12771,.F.); +#12773=ADVANCED_FACE('',(#12772),#12766,.F.); +#12774=CARTESIAN_POINT('',(1.524E1,0.E0,-3.8E-1)); +#12775=AXIS2_PLACEMENT_3D('',#12774,#2100,#84); +#12776=PLANE('',#12775); +#12777=ORIENTED_EDGE('',*,*,#19470,.F.); +#12778=ORIENTED_EDGE('',*,*,#19473,.F.); +#12779=ORIENTED_EDGE('',*,*,#19475,.F.); +#12780=ORIENTED_EDGE('',*,*,#19476,.F.); +#12781=EDGE_LOOP('',(#12777,#12778,#12779,#12780)); +#12782=FACE_OUTER_BOUND('',#12781,.F.); +#12783=ADVANCED_FACE('',(#12782),#12776,.T.); +#12784=CARTESIAN_POINT('',(-2.2145E1,3.81E0,-1.9E-1)); +#12785=AXIS2_PLACEMENT_3D('',#12784,#12431,#72); +#12786=PLANE('',#12785); +#12787=ORIENTED_EDGE('',*,*,#17624,.F.); +#12788=ORIENTED_EDGE('',*,*,#19477,.F.); +#12789=ORIENTED_EDGE('',*,*,#19478,.T.); +#12790=ORIENTED_EDGE('',*,*,#19479,.T.); +#12791=EDGE_LOOP('',(#12787,#12788,#12789,#12790)); +#12792=FACE_OUTER_BOUND('',#12791,.F.); +#12793=ADVANCED_FACE('',(#12792),#12786,.F.); +#12794=CARTESIAN_POINT('',(-2.159E1,4.3625E0,-1.9E-1)); +#12795=AXIS2_PLACEMENT_3D('',#12794,#12442,#76); +#12796=PLANE('',#12795); +#12797=ORIENTED_EDGE('',*,*,#17627,.F.); +#12798=ORIENTED_EDGE('',*,*,#19480,.F.); +#12799=ORIENTED_EDGE('',*,*,#19481,.T.); +#12800=ORIENTED_EDGE('',*,*,#19477,.T.); +#12801=EDGE_LOOP('',(#12797,#12798,#12799,#12800)); +#12802=FACE_OUTER_BOUND('',#12801,.F.); +#12803=ADVANCED_FACE('',(#12802),#12796,.F.); +#12804=CARTESIAN_POINT('',(-2.1035E1,3.81E0,-1.9E-1)); +#12805=AXIS2_PLACEMENT_3D('',#12804,#12453,#80); +#12806=PLANE('',#12805); +#12807=ORIENTED_EDGE('',*,*,#17626,.F.); +#12808=ORIENTED_EDGE('',*,*,#19482,.F.); +#12809=ORIENTED_EDGE('',*,*,#19483,.T.); +#12810=ORIENTED_EDGE('',*,*,#19480,.T.); +#12811=EDGE_LOOP('',(#12807,#12808,#12809,#12810)); +#12812=FACE_OUTER_BOUND('',#12811,.F.); +#12813=ADVANCED_FACE('',(#12812),#12806,.F.); +#12814=CARTESIAN_POINT('',(-2.159E1,3.2575E0,-1.9E-1)); +#12815=AXIS2_PLACEMENT_3D('',#12814,#12464,#84); +#12816=PLANE('',#12815); +#12817=ORIENTED_EDGE('',*,*,#17625,.F.); +#12818=ORIENTED_EDGE('',*,*,#19479,.F.); +#12819=ORIENTED_EDGE('',*,*,#19484,.T.); +#12820=ORIENTED_EDGE('',*,*,#19482,.T.); +#12821=EDGE_LOOP('',(#12817,#12818,#12819,#12820)); +#12822=FACE_OUTER_BOUND('',#12821,.F.); +#12823=ADVANCED_FACE('',(#12822),#12816,.F.); +#12824=CARTESIAN_POINT('',(1.778E1,0.E0,-3.8E-1)); +#12825=AXIS2_PLACEMENT_3D('',#12824,#2100,#84); +#12826=PLANE('',#12825); +#12827=ORIENTED_EDGE('',*,*,#19478,.F.); +#12828=ORIENTED_EDGE('',*,*,#19481,.F.); +#12829=ORIENTED_EDGE('',*,*,#19483,.F.); +#12830=ORIENTED_EDGE('',*,*,#19484,.F.); +#12831=EDGE_LOOP('',(#12827,#12828,#12829,#12830)); +#12832=FACE_OUTER_BOUND('',#12831,.F.); +#12833=ADVANCED_FACE('',(#12832),#12826,.T.); +#12834=CARTESIAN_POINT('',(-1.9605E1,3.81E0,-1.9E-1)); +#12835=AXIS2_PLACEMENT_3D('',#12834,#12431,#72); +#12836=PLANE('',#12835); +#12837=ORIENTED_EDGE('',*,*,#17628,.F.); +#12838=ORIENTED_EDGE('',*,*,#19485,.F.); +#12839=ORIENTED_EDGE('',*,*,#19486,.T.); +#12840=ORIENTED_EDGE('',*,*,#19487,.T.); +#12841=EDGE_LOOP('',(#12837,#12838,#12839,#12840)); +#12842=FACE_OUTER_BOUND('',#12841,.F.); +#12843=ADVANCED_FACE('',(#12842),#12836,.F.); +#12844=CARTESIAN_POINT('',(-1.905E1,4.3625E0,-1.9E-1)); +#12845=AXIS2_PLACEMENT_3D('',#12844,#12442,#76); +#12846=PLANE('',#12845); +#12847=ORIENTED_EDGE('',*,*,#17631,.F.); +#12848=ORIENTED_EDGE('',*,*,#19488,.F.); +#12849=ORIENTED_EDGE('',*,*,#19489,.T.); +#12850=ORIENTED_EDGE('',*,*,#19485,.T.); +#12851=EDGE_LOOP('',(#12847,#12848,#12849,#12850)); +#12852=FACE_OUTER_BOUND('',#12851,.F.); +#12853=ADVANCED_FACE('',(#12852),#12846,.F.); +#12854=CARTESIAN_POINT('',(-1.8495E1,3.81E0,-1.9E-1)); +#12855=AXIS2_PLACEMENT_3D('',#12854,#12453,#80); +#12856=PLANE('',#12855); +#12857=ORIENTED_EDGE('',*,*,#17630,.F.); +#12858=ORIENTED_EDGE('',*,*,#19490,.F.); +#12859=ORIENTED_EDGE('',*,*,#19491,.T.); +#12860=ORIENTED_EDGE('',*,*,#19488,.T.); +#12861=EDGE_LOOP('',(#12857,#12858,#12859,#12860)); +#12862=FACE_OUTER_BOUND('',#12861,.F.); +#12863=ADVANCED_FACE('',(#12862),#12856,.F.); +#12864=CARTESIAN_POINT('',(-1.905E1,3.2575E0,-1.9E-1)); +#12865=AXIS2_PLACEMENT_3D('',#12864,#12464,#84); +#12866=PLANE('',#12865); +#12867=ORIENTED_EDGE('',*,*,#17629,.F.); +#12868=ORIENTED_EDGE('',*,*,#19487,.F.); +#12869=ORIENTED_EDGE('',*,*,#19492,.T.); +#12870=ORIENTED_EDGE('',*,*,#19490,.T.); +#12871=EDGE_LOOP('',(#12867,#12868,#12869,#12870)); +#12872=FACE_OUTER_BOUND('',#12871,.F.); +#12873=ADVANCED_FACE('',(#12872),#12866,.F.); +#12874=CARTESIAN_POINT('',(2.032E1,0.E0,-3.8E-1)); +#12875=AXIS2_PLACEMENT_3D('',#12874,#2100,#84); +#12876=PLANE('',#12875); +#12877=ORIENTED_EDGE('',*,*,#19486,.F.); +#12878=ORIENTED_EDGE('',*,*,#19489,.F.); +#12879=ORIENTED_EDGE('',*,*,#19491,.F.); +#12880=ORIENTED_EDGE('',*,*,#19492,.F.); +#12881=EDGE_LOOP('',(#12877,#12878,#12879,#12880)); +#12882=FACE_OUTER_BOUND('',#12881,.F.); +#12883=ADVANCED_FACE('',(#12882),#12876,.T.); +#12884=CARTESIAN_POINT('',(-1.4525E1,3.81E0,-1.9E-1)); +#12885=AXIS2_PLACEMENT_3D('',#12884,#12431,#72); +#12886=PLANE('',#12885); +#12887=ORIENTED_EDGE('',*,*,#17632,.F.); +#12888=ORIENTED_EDGE('',*,*,#19493,.F.); +#12889=ORIENTED_EDGE('',*,*,#19494,.T.); +#12890=ORIENTED_EDGE('',*,*,#19495,.T.); +#12891=EDGE_LOOP('',(#12887,#12888,#12889,#12890)); +#12892=FACE_OUTER_BOUND('',#12891,.F.); +#12893=ADVANCED_FACE('',(#12892),#12886,.F.); +#12894=CARTESIAN_POINT('',(-1.397E1,4.3625E0,-1.9E-1)); +#12895=AXIS2_PLACEMENT_3D('',#12894,#12442,#76); +#12896=PLANE('',#12895); +#12897=ORIENTED_EDGE('',*,*,#17635,.F.); +#12898=ORIENTED_EDGE('',*,*,#19496,.F.); +#12899=ORIENTED_EDGE('',*,*,#19497,.T.); +#12900=ORIENTED_EDGE('',*,*,#19493,.T.); +#12901=EDGE_LOOP('',(#12897,#12898,#12899,#12900)); +#12902=FACE_OUTER_BOUND('',#12901,.F.); +#12903=ADVANCED_FACE('',(#12902),#12896,.F.); +#12904=CARTESIAN_POINT('',(-1.3415E1,3.81E0,-1.9E-1)); +#12905=AXIS2_PLACEMENT_3D('',#12904,#12453,#80); +#12906=PLANE('',#12905); +#12907=ORIENTED_EDGE('',*,*,#17634,.F.); +#12908=ORIENTED_EDGE('',*,*,#19498,.F.); +#12909=ORIENTED_EDGE('',*,*,#19499,.T.); +#12910=ORIENTED_EDGE('',*,*,#19496,.T.); +#12911=EDGE_LOOP('',(#12907,#12908,#12909,#12910)); +#12912=FACE_OUTER_BOUND('',#12911,.F.); +#12913=ADVANCED_FACE('',(#12912),#12906,.F.); +#12914=CARTESIAN_POINT('',(-1.397E1,3.2575E0,-1.9E-1)); +#12915=AXIS2_PLACEMENT_3D('',#12914,#12464,#84); +#12916=PLANE('',#12915); +#12917=ORIENTED_EDGE('',*,*,#17633,.F.); +#12918=ORIENTED_EDGE('',*,*,#19495,.F.); +#12919=ORIENTED_EDGE('',*,*,#19500,.T.); +#12920=ORIENTED_EDGE('',*,*,#19498,.T.); +#12921=EDGE_LOOP('',(#12917,#12918,#12919,#12920)); +#12922=FACE_OUTER_BOUND('',#12921,.F.); +#12923=ADVANCED_FACE('',(#12922),#12916,.F.); +#12924=CARTESIAN_POINT('',(2.54E1,0.E0,-3.8E-1)); +#12925=AXIS2_PLACEMENT_3D('',#12924,#2100,#84); +#12926=PLANE('',#12925); +#12927=ORIENTED_EDGE('',*,*,#19494,.F.); +#12928=ORIENTED_EDGE('',*,*,#19497,.F.); +#12929=ORIENTED_EDGE('',*,*,#19499,.F.); +#12930=ORIENTED_EDGE('',*,*,#19500,.F.); +#12931=EDGE_LOOP('',(#12927,#12928,#12929,#12930)); +#12932=FACE_OUTER_BOUND('',#12931,.F.); +#12933=ADVANCED_FACE('',(#12932),#12926,.T.); +#12934=CARTESIAN_POINT('',(-1.1985E1,3.81E0,-1.9E-1)); +#12935=AXIS2_PLACEMENT_3D('',#12934,#12431,#72); +#12936=PLANE('',#12935); +#12937=ORIENTED_EDGE('',*,*,#17636,.F.); +#12938=ORIENTED_EDGE('',*,*,#19501,.F.); +#12939=ORIENTED_EDGE('',*,*,#19502,.T.); +#12940=ORIENTED_EDGE('',*,*,#19503,.T.); +#12941=EDGE_LOOP('',(#12937,#12938,#12939,#12940)); +#12942=FACE_OUTER_BOUND('',#12941,.F.); +#12943=ADVANCED_FACE('',(#12942),#12936,.F.); +#12944=CARTESIAN_POINT('',(-1.143E1,4.3625E0,-1.9E-1)); +#12945=AXIS2_PLACEMENT_3D('',#12944,#12442,#76); +#12946=PLANE('',#12945); +#12947=ORIENTED_EDGE('',*,*,#17639,.F.); +#12948=ORIENTED_EDGE('',*,*,#19504,.F.); +#12949=ORIENTED_EDGE('',*,*,#19505,.T.); +#12950=ORIENTED_EDGE('',*,*,#19501,.T.); +#12951=EDGE_LOOP('',(#12947,#12948,#12949,#12950)); +#12952=FACE_OUTER_BOUND('',#12951,.F.); +#12953=ADVANCED_FACE('',(#12952),#12946,.F.); +#12954=CARTESIAN_POINT('',(-1.0875E1,3.81E0,-1.9E-1)); +#12955=AXIS2_PLACEMENT_3D('',#12954,#12453,#80); +#12956=PLANE('',#12955); +#12957=ORIENTED_EDGE('',*,*,#17638,.F.); +#12958=ORIENTED_EDGE('',*,*,#19506,.F.); +#12959=ORIENTED_EDGE('',*,*,#19507,.T.); +#12960=ORIENTED_EDGE('',*,*,#19504,.T.); +#12961=EDGE_LOOP('',(#12957,#12958,#12959,#12960)); +#12962=FACE_OUTER_BOUND('',#12961,.F.); +#12963=ADVANCED_FACE('',(#12962),#12956,.F.); +#12964=CARTESIAN_POINT('',(-1.143E1,3.2575E0,-1.9E-1)); +#12965=AXIS2_PLACEMENT_3D('',#12964,#12464,#84); +#12966=PLANE('',#12965); +#12967=ORIENTED_EDGE('',*,*,#17637,.F.); +#12968=ORIENTED_EDGE('',*,*,#19503,.F.); +#12969=ORIENTED_EDGE('',*,*,#19508,.T.); +#12970=ORIENTED_EDGE('',*,*,#19506,.T.); +#12971=EDGE_LOOP('',(#12967,#12968,#12969,#12970)); +#12972=FACE_OUTER_BOUND('',#12971,.F.); +#12973=ADVANCED_FACE('',(#12972),#12966,.F.); +#12974=CARTESIAN_POINT('',(2.794E1,0.E0,-3.8E-1)); +#12975=AXIS2_PLACEMENT_3D('',#12974,#2100,#84); +#12976=PLANE('',#12975); +#12977=ORIENTED_EDGE('',*,*,#19502,.F.); +#12978=ORIENTED_EDGE('',*,*,#19505,.F.); +#12979=ORIENTED_EDGE('',*,*,#19507,.F.); +#12980=ORIENTED_EDGE('',*,*,#19508,.F.); +#12981=EDGE_LOOP('',(#12977,#12978,#12979,#12980)); +#12982=FACE_OUTER_BOUND('',#12981,.F.); +#12983=ADVANCED_FACE('',(#12982),#12976,.T.); +#12984=CARTESIAN_POINT('',(-9.445E0,3.81E0,-1.9E-1)); +#12985=AXIS2_PLACEMENT_3D('',#12984,#12431,#72); +#12986=PLANE('',#12985); +#12987=ORIENTED_EDGE('',*,*,#17640,.F.); +#12988=ORIENTED_EDGE('',*,*,#19509,.F.); +#12989=ORIENTED_EDGE('',*,*,#19510,.T.); +#12990=ORIENTED_EDGE('',*,*,#19511,.T.); +#12991=EDGE_LOOP('',(#12987,#12988,#12989,#12990)); +#12992=FACE_OUTER_BOUND('',#12991,.F.); +#12993=ADVANCED_FACE('',(#12992),#12986,.F.); +#12994=CARTESIAN_POINT('',(-8.89E0,4.3625E0,-1.9E-1)); +#12995=AXIS2_PLACEMENT_3D('',#12994,#12442,#76); +#12996=PLANE('',#12995); +#12997=ORIENTED_EDGE('',*,*,#17643,.F.); +#12998=ORIENTED_EDGE('',*,*,#19512,.F.); +#12999=ORIENTED_EDGE('',*,*,#19513,.T.); +#13000=ORIENTED_EDGE('',*,*,#19509,.T.); +#13001=EDGE_LOOP('',(#12997,#12998,#12999,#13000)); +#13002=FACE_OUTER_BOUND('',#13001,.F.); +#13003=ADVANCED_FACE('',(#13002),#12996,.F.); +#13004=CARTESIAN_POINT('',(-8.335E0,3.81E0,-1.9E-1)); +#13005=AXIS2_PLACEMENT_3D('',#13004,#12453,#80); +#13006=PLANE('',#13005); +#13007=ORIENTED_EDGE('',*,*,#17642,.F.); +#13008=ORIENTED_EDGE('',*,*,#19514,.F.); +#13009=ORIENTED_EDGE('',*,*,#19515,.T.); +#13010=ORIENTED_EDGE('',*,*,#19512,.T.); +#13011=EDGE_LOOP('',(#13007,#13008,#13009,#13010)); +#13012=FACE_OUTER_BOUND('',#13011,.F.); +#13013=ADVANCED_FACE('',(#13012),#13006,.F.); +#13014=CARTESIAN_POINT('',(-8.89E0,3.2575E0,-1.9E-1)); +#13015=AXIS2_PLACEMENT_3D('',#13014,#12464,#84); +#13016=PLANE('',#13015); +#13017=ORIENTED_EDGE('',*,*,#17641,.F.); +#13018=ORIENTED_EDGE('',*,*,#19511,.F.); +#13019=ORIENTED_EDGE('',*,*,#19516,.T.); +#13020=ORIENTED_EDGE('',*,*,#19514,.T.); +#13021=EDGE_LOOP('',(#13017,#13018,#13019,#13020)); +#13022=FACE_OUTER_BOUND('',#13021,.F.); +#13023=ADVANCED_FACE('',(#13022),#13016,.F.); +#13024=CARTESIAN_POINT('',(3.048E1,0.E0,-3.8E-1)); +#13025=AXIS2_PLACEMENT_3D('',#13024,#2100,#84); +#13026=PLANE('',#13025); +#13027=ORIENTED_EDGE('',*,*,#19510,.F.); +#13028=ORIENTED_EDGE('',*,*,#19513,.F.); +#13029=ORIENTED_EDGE('',*,*,#19515,.F.); +#13030=ORIENTED_EDGE('',*,*,#19516,.F.); +#13031=EDGE_LOOP('',(#13027,#13028,#13029,#13030)); +#13032=FACE_OUTER_BOUND('',#13031,.F.); +#13033=ADVANCED_FACE('',(#13032),#13026,.T.); +#13034=CARTESIAN_POINT('',(-6.905E0,3.81E0,-1.9E-1)); +#13035=AXIS2_PLACEMENT_3D('',#13034,#12431,#72); +#13036=PLANE('',#13035); +#13037=ORIENTED_EDGE('',*,*,#17644,.F.); +#13038=ORIENTED_EDGE('',*,*,#19517,.F.); +#13039=ORIENTED_EDGE('',*,*,#19518,.T.); +#13040=ORIENTED_EDGE('',*,*,#19519,.T.); +#13041=EDGE_LOOP('',(#13037,#13038,#13039,#13040)); +#13042=FACE_OUTER_BOUND('',#13041,.F.); +#13043=ADVANCED_FACE('',(#13042),#13036,.F.); +#13044=CARTESIAN_POINT('',(-6.35E0,4.3625E0,-1.9E-1)); +#13045=AXIS2_PLACEMENT_3D('',#13044,#12442,#76); +#13046=PLANE('',#13045); +#13047=ORIENTED_EDGE('',*,*,#17647,.F.); +#13048=ORIENTED_EDGE('',*,*,#19520,.F.); +#13049=ORIENTED_EDGE('',*,*,#19521,.T.); +#13050=ORIENTED_EDGE('',*,*,#19517,.T.); +#13051=EDGE_LOOP('',(#13047,#13048,#13049,#13050)); +#13052=FACE_OUTER_BOUND('',#13051,.F.); +#13053=ADVANCED_FACE('',(#13052),#13046,.F.); +#13054=CARTESIAN_POINT('',(-5.795E0,3.81E0,-1.9E-1)); +#13055=AXIS2_PLACEMENT_3D('',#13054,#12453,#80); +#13056=PLANE('',#13055); +#13057=ORIENTED_EDGE('',*,*,#17646,.F.); +#13058=ORIENTED_EDGE('',*,*,#19522,.F.); +#13059=ORIENTED_EDGE('',*,*,#19523,.T.); +#13060=ORIENTED_EDGE('',*,*,#19520,.T.); +#13061=EDGE_LOOP('',(#13057,#13058,#13059,#13060)); +#13062=FACE_OUTER_BOUND('',#13061,.F.); +#13063=ADVANCED_FACE('',(#13062),#13056,.F.); +#13064=CARTESIAN_POINT('',(-6.35E0,3.2575E0,-1.9E-1)); +#13065=AXIS2_PLACEMENT_3D('',#13064,#12464,#84); +#13066=PLANE('',#13065); +#13067=ORIENTED_EDGE('',*,*,#17645,.F.); +#13068=ORIENTED_EDGE('',*,*,#19519,.F.); +#13069=ORIENTED_EDGE('',*,*,#19524,.T.); +#13070=ORIENTED_EDGE('',*,*,#19522,.T.); +#13071=EDGE_LOOP('',(#13067,#13068,#13069,#13070)); +#13072=FACE_OUTER_BOUND('',#13071,.F.); +#13073=ADVANCED_FACE('',(#13072),#13066,.F.); +#13074=CARTESIAN_POINT('',(3.302E1,0.E0,-3.8E-1)); +#13075=AXIS2_PLACEMENT_3D('',#13074,#2100,#84); +#13076=PLANE('',#13075); +#13077=ORIENTED_EDGE('',*,*,#19518,.F.); +#13078=ORIENTED_EDGE('',*,*,#19521,.F.); +#13079=ORIENTED_EDGE('',*,*,#19523,.F.); +#13080=ORIENTED_EDGE('',*,*,#19524,.F.); +#13081=EDGE_LOOP('',(#13077,#13078,#13079,#13080)); +#13082=FACE_OUTER_BOUND('',#13081,.F.); +#13083=ADVANCED_FACE('',(#13082),#13076,.T.); +#13084=CARTESIAN_POINT('',(-4.365E0,3.81E0,-1.9E-1)); +#13085=AXIS2_PLACEMENT_3D('',#13084,#12431,#72); +#13086=PLANE('',#13085); +#13087=ORIENTED_EDGE('',*,*,#17648,.F.); +#13088=ORIENTED_EDGE('',*,*,#19525,.F.); +#13089=ORIENTED_EDGE('',*,*,#19526,.T.); +#13090=ORIENTED_EDGE('',*,*,#19527,.T.); +#13091=EDGE_LOOP('',(#13087,#13088,#13089,#13090)); +#13092=FACE_OUTER_BOUND('',#13091,.F.); +#13093=ADVANCED_FACE('',(#13092),#13086,.F.); +#13094=CARTESIAN_POINT('',(-3.81E0,4.3625E0,-1.9E-1)); +#13095=AXIS2_PLACEMENT_3D('',#13094,#12442,#76); +#13096=PLANE('',#13095); +#13097=ORIENTED_EDGE('',*,*,#17651,.F.); +#13098=ORIENTED_EDGE('',*,*,#19528,.F.); +#13099=ORIENTED_EDGE('',*,*,#19529,.T.); +#13100=ORIENTED_EDGE('',*,*,#19525,.T.); +#13101=EDGE_LOOP('',(#13097,#13098,#13099,#13100)); +#13102=FACE_OUTER_BOUND('',#13101,.F.); +#13103=ADVANCED_FACE('',(#13102),#13096,.F.); +#13104=CARTESIAN_POINT('',(-3.255E0,3.81E0,-1.9E-1)); +#13105=AXIS2_PLACEMENT_3D('',#13104,#12453,#80); +#13106=PLANE('',#13105); +#13107=ORIENTED_EDGE('',*,*,#17650,.F.); +#13108=ORIENTED_EDGE('',*,*,#19530,.F.); +#13109=ORIENTED_EDGE('',*,*,#19531,.T.); +#13110=ORIENTED_EDGE('',*,*,#19528,.T.); +#13111=EDGE_LOOP('',(#13107,#13108,#13109,#13110)); +#13112=FACE_OUTER_BOUND('',#13111,.F.); +#13113=ADVANCED_FACE('',(#13112),#13106,.F.); +#13114=CARTESIAN_POINT('',(-3.81E0,3.2575E0,-1.9E-1)); +#13115=AXIS2_PLACEMENT_3D('',#13114,#12464,#84); +#13116=PLANE('',#13115); +#13117=ORIENTED_EDGE('',*,*,#17649,.F.); +#13118=ORIENTED_EDGE('',*,*,#19527,.F.); +#13119=ORIENTED_EDGE('',*,*,#19532,.T.); +#13120=ORIENTED_EDGE('',*,*,#19530,.T.); +#13121=EDGE_LOOP('',(#13117,#13118,#13119,#13120)); +#13122=FACE_OUTER_BOUND('',#13121,.F.); +#13123=ADVANCED_FACE('',(#13122),#13116,.F.); +#13124=CARTESIAN_POINT('',(3.556E1,0.E0,-3.8E-1)); +#13125=AXIS2_PLACEMENT_3D('',#13124,#2100,#84); +#13126=PLANE('',#13125); +#13127=ORIENTED_EDGE('',*,*,#19526,.F.); +#13128=ORIENTED_EDGE('',*,*,#19529,.F.); +#13129=ORIENTED_EDGE('',*,*,#19531,.F.); +#13130=ORIENTED_EDGE('',*,*,#19532,.F.); +#13131=EDGE_LOOP('',(#13127,#13128,#13129,#13130)); +#13132=FACE_OUTER_BOUND('',#13131,.F.); +#13133=ADVANCED_FACE('',(#13132),#13126,.T.); +#13134=CARTESIAN_POINT('',(-1.825E0,3.81E0,-1.9E-1)); +#13135=AXIS2_PLACEMENT_3D('',#13134,#12431,#72); +#13136=PLANE('',#13135); +#13137=ORIENTED_EDGE('',*,*,#17652,.F.); +#13138=ORIENTED_EDGE('',*,*,#19533,.F.); +#13139=ORIENTED_EDGE('',*,*,#19534,.T.); +#13140=ORIENTED_EDGE('',*,*,#19535,.T.); +#13141=EDGE_LOOP('',(#13137,#13138,#13139,#13140)); +#13142=FACE_OUTER_BOUND('',#13141,.F.); +#13143=ADVANCED_FACE('',(#13142),#13136,.F.); +#13144=CARTESIAN_POINT('',(-1.27E0,4.3625E0,-1.9E-1)); +#13145=AXIS2_PLACEMENT_3D('',#13144,#12442,#76); +#13146=PLANE('',#13145); +#13147=ORIENTED_EDGE('',*,*,#17655,.F.); +#13148=ORIENTED_EDGE('',*,*,#19536,.F.); +#13149=ORIENTED_EDGE('',*,*,#19537,.T.); +#13150=ORIENTED_EDGE('',*,*,#19533,.T.); +#13151=EDGE_LOOP('',(#13147,#13148,#13149,#13150)); +#13152=FACE_OUTER_BOUND('',#13151,.F.); +#13153=ADVANCED_FACE('',(#13152),#13146,.F.); +#13154=CARTESIAN_POINT('',(-7.15E-1,3.81E0,-1.9E-1)); +#13155=AXIS2_PLACEMENT_3D('',#13154,#12453,#80); +#13156=PLANE('',#13155); +#13157=ORIENTED_EDGE('',*,*,#17654,.F.); +#13158=ORIENTED_EDGE('',*,*,#19538,.F.); +#13159=ORIENTED_EDGE('',*,*,#19539,.T.); +#13160=ORIENTED_EDGE('',*,*,#19536,.T.); +#13161=EDGE_LOOP('',(#13157,#13158,#13159,#13160)); +#13162=FACE_OUTER_BOUND('',#13161,.F.); +#13163=ADVANCED_FACE('',(#13162),#13156,.F.); +#13164=CARTESIAN_POINT('',(-1.27E0,3.2575E0,-1.9E-1)); +#13165=AXIS2_PLACEMENT_3D('',#13164,#12464,#84); +#13166=PLANE('',#13165); +#13167=ORIENTED_EDGE('',*,*,#17653,.F.); +#13168=ORIENTED_EDGE('',*,*,#19535,.F.); +#13169=ORIENTED_EDGE('',*,*,#19540,.T.); +#13170=ORIENTED_EDGE('',*,*,#19538,.T.); +#13171=EDGE_LOOP('',(#13167,#13168,#13169,#13170)); +#13172=FACE_OUTER_BOUND('',#13171,.F.); +#13173=ADVANCED_FACE('',(#13172),#13166,.F.); +#13174=CARTESIAN_POINT('',(3.81E1,0.E0,-3.8E-1)); +#13175=AXIS2_PLACEMENT_3D('',#13174,#2100,#84); +#13176=PLANE('',#13175); +#13177=ORIENTED_EDGE('',*,*,#19534,.F.); +#13178=ORIENTED_EDGE('',*,*,#19537,.F.); +#13179=ORIENTED_EDGE('',*,*,#19539,.F.); +#13180=ORIENTED_EDGE('',*,*,#19540,.F.); +#13181=EDGE_LOOP('',(#13177,#13178,#13179,#13180)); +#13182=FACE_OUTER_BOUND('',#13181,.F.); +#13183=ADVANCED_FACE('',(#13182),#13176,.T.); +#13184=CARTESIAN_POINT('',(7.15E-1,3.81E0,-1.9E-1)); +#13185=AXIS2_PLACEMENT_3D('',#13184,#12431,#72); +#13186=PLANE('',#13185); +#13187=ORIENTED_EDGE('',*,*,#17656,.F.); +#13188=ORIENTED_EDGE('',*,*,#19541,.F.); +#13189=ORIENTED_EDGE('',*,*,#19542,.T.); +#13190=ORIENTED_EDGE('',*,*,#19543,.T.); +#13191=EDGE_LOOP('',(#13187,#13188,#13189,#13190)); +#13192=FACE_OUTER_BOUND('',#13191,.F.); +#13193=ADVANCED_FACE('',(#13192),#13186,.F.); +#13194=CARTESIAN_POINT('',(1.27E0,4.3625E0,-1.9E-1)); +#13195=AXIS2_PLACEMENT_3D('',#13194,#12442,#76); +#13196=PLANE('',#13195); +#13197=ORIENTED_EDGE('',*,*,#17659,.F.); +#13198=ORIENTED_EDGE('',*,*,#19544,.F.); +#13199=ORIENTED_EDGE('',*,*,#19545,.T.); +#13200=ORIENTED_EDGE('',*,*,#19541,.T.); +#13201=EDGE_LOOP('',(#13197,#13198,#13199,#13200)); +#13202=FACE_OUTER_BOUND('',#13201,.F.); +#13203=ADVANCED_FACE('',(#13202),#13196,.F.); +#13204=CARTESIAN_POINT('',(1.825E0,3.81E0,-1.9E-1)); +#13205=AXIS2_PLACEMENT_3D('',#13204,#12453,#80); +#13206=PLANE('',#13205); +#13207=ORIENTED_EDGE('',*,*,#17658,.F.); +#13208=ORIENTED_EDGE('',*,*,#19546,.F.); +#13209=ORIENTED_EDGE('',*,*,#19547,.T.); +#13210=ORIENTED_EDGE('',*,*,#19544,.T.); +#13211=EDGE_LOOP('',(#13207,#13208,#13209,#13210)); +#13212=FACE_OUTER_BOUND('',#13211,.F.); +#13213=ADVANCED_FACE('',(#13212),#13206,.F.); +#13214=CARTESIAN_POINT('',(1.27E0,3.2575E0,-1.9E-1)); +#13215=AXIS2_PLACEMENT_3D('',#13214,#12464,#84); +#13216=PLANE('',#13215); +#13217=ORIENTED_EDGE('',*,*,#17657,.F.); +#13218=ORIENTED_EDGE('',*,*,#19543,.F.); +#13219=ORIENTED_EDGE('',*,*,#19548,.T.); +#13220=ORIENTED_EDGE('',*,*,#19546,.T.); +#13221=EDGE_LOOP('',(#13217,#13218,#13219,#13220)); +#13222=FACE_OUTER_BOUND('',#13221,.F.); +#13223=ADVANCED_FACE('',(#13222),#13216,.F.); +#13224=CARTESIAN_POINT('',(4.064E1,0.E0,-3.8E-1)); +#13225=AXIS2_PLACEMENT_3D('',#13224,#2100,#84); +#13226=PLANE('',#13225); +#13227=ORIENTED_EDGE('',*,*,#19542,.F.); +#13228=ORIENTED_EDGE('',*,*,#19545,.F.); +#13229=ORIENTED_EDGE('',*,*,#19547,.F.); +#13230=ORIENTED_EDGE('',*,*,#19548,.F.); +#13231=EDGE_LOOP('',(#13227,#13228,#13229,#13230)); +#13232=FACE_OUTER_BOUND('',#13231,.F.); +#13233=ADVANCED_FACE('',(#13232),#13226,.T.); +#13234=CARTESIAN_POINT('',(3.255E0,3.81E0,-1.9E-1)); +#13235=AXIS2_PLACEMENT_3D('',#13234,#12431,#72); +#13236=PLANE('',#13235); +#13237=ORIENTED_EDGE('',*,*,#17660,.F.); +#13238=ORIENTED_EDGE('',*,*,#19549,.F.); +#13239=ORIENTED_EDGE('',*,*,#19550,.T.); +#13240=ORIENTED_EDGE('',*,*,#19551,.T.); +#13241=EDGE_LOOP('',(#13237,#13238,#13239,#13240)); +#13242=FACE_OUTER_BOUND('',#13241,.F.); +#13243=ADVANCED_FACE('',(#13242),#13236,.F.); +#13244=CARTESIAN_POINT('',(3.81E0,4.3625E0,-1.9E-1)); +#13245=AXIS2_PLACEMENT_3D('',#13244,#12442,#76); +#13246=PLANE('',#13245); +#13247=ORIENTED_EDGE('',*,*,#17663,.F.); +#13248=ORIENTED_EDGE('',*,*,#19552,.F.); +#13249=ORIENTED_EDGE('',*,*,#19553,.T.); +#13250=ORIENTED_EDGE('',*,*,#19549,.T.); +#13251=EDGE_LOOP('',(#13247,#13248,#13249,#13250)); +#13252=FACE_OUTER_BOUND('',#13251,.F.); +#13253=ADVANCED_FACE('',(#13252),#13246,.F.); +#13254=CARTESIAN_POINT('',(4.365E0,3.81E0,-1.9E-1)); +#13255=AXIS2_PLACEMENT_3D('',#13254,#12453,#80); +#13256=PLANE('',#13255); +#13257=ORIENTED_EDGE('',*,*,#17662,.F.); +#13258=ORIENTED_EDGE('',*,*,#19554,.F.); +#13259=ORIENTED_EDGE('',*,*,#19555,.T.); +#13260=ORIENTED_EDGE('',*,*,#19552,.T.); +#13261=EDGE_LOOP('',(#13257,#13258,#13259,#13260)); +#13262=FACE_OUTER_BOUND('',#13261,.F.); +#13263=ADVANCED_FACE('',(#13262),#13256,.F.); +#13264=CARTESIAN_POINT('',(3.81E0,3.2575E0,-1.9E-1)); +#13265=AXIS2_PLACEMENT_3D('',#13264,#12464,#84); +#13266=PLANE('',#13265); +#13267=ORIENTED_EDGE('',*,*,#17661,.F.); +#13268=ORIENTED_EDGE('',*,*,#19551,.F.); +#13269=ORIENTED_EDGE('',*,*,#19556,.T.); +#13270=ORIENTED_EDGE('',*,*,#19554,.T.); +#13271=EDGE_LOOP('',(#13267,#13268,#13269,#13270)); +#13272=FACE_OUTER_BOUND('',#13271,.F.); +#13273=ADVANCED_FACE('',(#13272),#13266,.F.); +#13274=CARTESIAN_POINT('',(4.318E1,0.E0,-3.8E-1)); +#13275=AXIS2_PLACEMENT_3D('',#13274,#2100,#84); +#13276=PLANE('',#13275); +#13277=ORIENTED_EDGE('',*,*,#19550,.F.); +#13278=ORIENTED_EDGE('',*,*,#19553,.F.); +#13279=ORIENTED_EDGE('',*,*,#19555,.F.); +#13280=ORIENTED_EDGE('',*,*,#19556,.F.); +#13281=EDGE_LOOP('',(#13277,#13278,#13279,#13280)); +#13282=FACE_OUTER_BOUND('',#13281,.F.); +#13283=ADVANCED_FACE('',(#13282),#13276,.T.); +#13284=CARTESIAN_POINT('',(5.795E0,3.81E0,-1.9E-1)); +#13285=AXIS2_PLACEMENT_3D('',#13284,#12431,#72); +#13286=PLANE('',#13285); +#13287=ORIENTED_EDGE('',*,*,#17664,.F.); +#13288=ORIENTED_EDGE('',*,*,#19557,.F.); +#13289=ORIENTED_EDGE('',*,*,#19558,.T.); +#13290=ORIENTED_EDGE('',*,*,#19559,.T.); +#13291=EDGE_LOOP('',(#13287,#13288,#13289,#13290)); +#13292=FACE_OUTER_BOUND('',#13291,.F.); +#13293=ADVANCED_FACE('',(#13292),#13286,.F.); +#13294=CARTESIAN_POINT('',(6.35E0,4.3625E0,-1.9E-1)); +#13295=AXIS2_PLACEMENT_3D('',#13294,#12442,#76); +#13296=PLANE('',#13295); +#13297=ORIENTED_EDGE('',*,*,#17667,.F.); +#13298=ORIENTED_EDGE('',*,*,#19560,.F.); +#13299=ORIENTED_EDGE('',*,*,#19561,.T.); +#13300=ORIENTED_EDGE('',*,*,#19557,.T.); +#13301=EDGE_LOOP('',(#13297,#13298,#13299,#13300)); +#13302=FACE_OUTER_BOUND('',#13301,.F.); +#13303=ADVANCED_FACE('',(#13302),#13296,.F.); +#13304=CARTESIAN_POINT('',(6.905E0,3.81E0,-1.9E-1)); +#13305=AXIS2_PLACEMENT_3D('',#13304,#12453,#80); +#13306=PLANE('',#13305); +#13307=ORIENTED_EDGE('',*,*,#17666,.F.); +#13308=ORIENTED_EDGE('',*,*,#19562,.F.); +#13309=ORIENTED_EDGE('',*,*,#19563,.T.); +#13310=ORIENTED_EDGE('',*,*,#19560,.T.); +#13311=EDGE_LOOP('',(#13307,#13308,#13309,#13310)); +#13312=FACE_OUTER_BOUND('',#13311,.F.); +#13313=ADVANCED_FACE('',(#13312),#13306,.F.); +#13314=CARTESIAN_POINT('',(6.35E0,3.2575E0,-1.9E-1)); +#13315=AXIS2_PLACEMENT_3D('',#13314,#12464,#84); +#13316=PLANE('',#13315); +#13317=ORIENTED_EDGE('',*,*,#17665,.F.); +#13318=ORIENTED_EDGE('',*,*,#19559,.F.); +#13319=ORIENTED_EDGE('',*,*,#19564,.T.); +#13320=ORIENTED_EDGE('',*,*,#19562,.T.); +#13321=EDGE_LOOP('',(#13317,#13318,#13319,#13320)); +#13322=FACE_OUTER_BOUND('',#13321,.F.); +#13323=ADVANCED_FACE('',(#13322),#13316,.F.); +#13324=CARTESIAN_POINT('',(4.572E1,0.E0,-3.8E-1)); +#13325=AXIS2_PLACEMENT_3D('',#13324,#2100,#84); +#13326=PLANE('',#13325); +#13327=ORIENTED_EDGE('',*,*,#19558,.F.); +#13328=ORIENTED_EDGE('',*,*,#19561,.F.); +#13329=ORIENTED_EDGE('',*,*,#19563,.F.); +#13330=ORIENTED_EDGE('',*,*,#19564,.F.); +#13331=EDGE_LOOP('',(#13327,#13328,#13329,#13330)); +#13332=FACE_OUTER_BOUND('',#13331,.F.); +#13333=ADVANCED_FACE('',(#13332),#13326,.T.); +#13334=CARTESIAN_POINT('',(8.335E0,3.81E0,-1.9E-1)); +#13335=AXIS2_PLACEMENT_3D('',#13334,#12431,#72); +#13336=PLANE('',#13335); +#13337=ORIENTED_EDGE('',*,*,#17668,.F.); +#13338=ORIENTED_EDGE('',*,*,#19565,.F.); +#13339=ORIENTED_EDGE('',*,*,#19566,.T.); +#13340=ORIENTED_EDGE('',*,*,#19567,.T.); +#13341=EDGE_LOOP('',(#13337,#13338,#13339,#13340)); +#13342=FACE_OUTER_BOUND('',#13341,.F.); +#13343=ADVANCED_FACE('',(#13342),#13336,.F.); +#13344=CARTESIAN_POINT('',(8.89E0,4.3625E0,-1.9E-1)); +#13345=AXIS2_PLACEMENT_3D('',#13344,#12442,#76); +#13346=PLANE('',#13345); +#13347=ORIENTED_EDGE('',*,*,#17671,.F.); +#13348=ORIENTED_EDGE('',*,*,#19568,.F.); +#13349=ORIENTED_EDGE('',*,*,#19569,.T.); +#13350=ORIENTED_EDGE('',*,*,#19565,.T.); +#13351=EDGE_LOOP('',(#13347,#13348,#13349,#13350)); +#13352=FACE_OUTER_BOUND('',#13351,.F.); +#13353=ADVANCED_FACE('',(#13352),#13346,.F.); +#13354=CARTESIAN_POINT('',(9.445E0,3.81E0,-1.9E-1)); +#13355=AXIS2_PLACEMENT_3D('',#13354,#12453,#80); +#13356=PLANE('',#13355); +#13357=ORIENTED_EDGE('',*,*,#17670,.F.); +#13358=ORIENTED_EDGE('',*,*,#19570,.F.); +#13359=ORIENTED_EDGE('',*,*,#19571,.T.); +#13360=ORIENTED_EDGE('',*,*,#19568,.T.); +#13361=EDGE_LOOP('',(#13357,#13358,#13359,#13360)); +#13362=FACE_OUTER_BOUND('',#13361,.F.); +#13363=ADVANCED_FACE('',(#13362),#13356,.F.); +#13364=CARTESIAN_POINT('',(8.89E0,3.2575E0,-1.9E-1)); +#13365=AXIS2_PLACEMENT_3D('',#13364,#12464,#84); +#13366=PLANE('',#13365); +#13367=ORIENTED_EDGE('',*,*,#17669,.F.); +#13368=ORIENTED_EDGE('',*,*,#19567,.F.); +#13369=ORIENTED_EDGE('',*,*,#19572,.T.); +#13370=ORIENTED_EDGE('',*,*,#19570,.T.); +#13371=EDGE_LOOP('',(#13367,#13368,#13369,#13370)); +#13372=FACE_OUTER_BOUND('',#13371,.F.); +#13373=ADVANCED_FACE('',(#13372),#13366,.F.); +#13374=CARTESIAN_POINT('',(4.826E1,0.E0,-3.8E-1)); +#13375=AXIS2_PLACEMENT_3D('',#13374,#2100,#84); +#13376=PLANE('',#13375); +#13377=ORIENTED_EDGE('',*,*,#19566,.F.); +#13378=ORIENTED_EDGE('',*,*,#19569,.F.); +#13379=ORIENTED_EDGE('',*,*,#19571,.F.); +#13380=ORIENTED_EDGE('',*,*,#19572,.F.); +#13381=EDGE_LOOP('',(#13377,#13378,#13379,#13380)); +#13382=FACE_OUTER_BOUND('',#13381,.F.); +#13383=ADVANCED_FACE('',(#13382),#13376,.T.); +#13384=CARTESIAN_POINT('',(1.0875E1,3.81E0,-1.9E-1)); +#13385=AXIS2_PLACEMENT_3D('',#13384,#12431,#72); +#13386=PLANE('',#13385); +#13387=ORIENTED_EDGE('',*,*,#17672,.F.); +#13388=ORIENTED_EDGE('',*,*,#19573,.F.); +#13389=ORIENTED_EDGE('',*,*,#19574,.T.); +#13390=ORIENTED_EDGE('',*,*,#19575,.T.); +#13391=EDGE_LOOP('',(#13387,#13388,#13389,#13390)); +#13392=FACE_OUTER_BOUND('',#13391,.F.); +#13393=ADVANCED_FACE('',(#13392),#13386,.F.); +#13394=CARTESIAN_POINT('',(1.143E1,4.3625E0,-1.9E-1)); +#13395=AXIS2_PLACEMENT_3D('',#13394,#12442,#76); +#13396=PLANE('',#13395); +#13397=ORIENTED_EDGE('',*,*,#17675,.F.); +#13398=ORIENTED_EDGE('',*,*,#19576,.F.); +#13399=ORIENTED_EDGE('',*,*,#19577,.T.); +#13400=ORIENTED_EDGE('',*,*,#19573,.T.); +#13401=EDGE_LOOP('',(#13397,#13398,#13399,#13400)); +#13402=FACE_OUTER_BOUND('',#13401,.F.); +#13403=ADVANCED_FACE('',(#13402),#13396,.F.); +#13404=CARTESIAN_POINT('',(1.1985E1,3.81E0,-1.9E-1)); +#13405=AXIS2_PLACEMENT_3D('',#13404,#12453,#80); +#13406=PLANE('',#13405); +#13407=ORIENTED_EDGE('',*,*,#17674,.F.); +#13408=ORIENTED_EDGE('',*,*,#19578,.F.); +#13409=ORIENTED_EDGE('',*,*,#19579,.T.); +#13410=ORIENTED_EDGE('',*,*,#19576,.T.); +#13411=EDGE_LOOP('',(#13407,#13408,#13409,#13410)); +#13412=FACE_OUTER_BOUND('',#13411,.F.); +#13413=ADVANCED_FACE('',(#13412),#13406,.F.); +#13414=CARTESIAN_POINT('',(1.143E1,3.2575E0,-1.9E-1)); +#13415=AXIS2_PLACEMENT_3D('',#13414,#12464,#84); +#13416=PLANE('',#13415); +#13417=ORIENTED_EDGE('',*,*,#17673,.F.); +#13418=ORIENTED_EDGE('',*,*,#19575,.F.); +#13419=ORIENTED_EDGE('',*,*,#19580,.T.); +#13420=ORIENTED_EDGE('',*,*,#19578,.T.); +#13421=EDGE_LOOP('',(#13417,#13418,#13419,#13420)); +#13422=FACE_OUTER_BOUND('',#13421,.F.); +#13423=ADVANCED_FACE('',(#13422),#13416,.F.); +#13424=CARTESIAN_POINT('',(5.08E1,0.E0,-3.8E-1)); +#13425=AXIS2_PLACEMENT_3D('',#13424,#2100,#84); +#13426=PLANE('',#13425); +#13427=ORIENTED_EDGE('',*,*,#19574,.F.); +#13428=ORIENTED_EDGE('',*,*,#19577,.F.); +#13429=ORIENTED_EDGE('',*,*,#19579,.F.); +#13430=ORIENTED_EDGE('',*,*,#19580,.F.); +#13431=EDGE_LOOP('',(#13427,#13428,#13429,#13430)); +#13432=FACE_OUTER_BOUND('',#13431,.F.); +#13433=ADVANCED_FACE('',(#13432),#13426,.T.); +#13434=CARTESIAN_POINT('',(1.3415E1,3.81E0,-1.9E-1)); +#13435=AXIS2_PLACEMENT_3D('',#13434,#12431,#72); +#13436=PLANE('',#13435); +#13437=ORIENTED_EDGE('',*,*,#17676,.F.); +#13438=ORIENTED_EDGE('',*,*,#19581,.F.); +#13439=ORIENTED_EDGE('',*,*,#19582,.T.); +#13440=ORIENTED_EDGE('',*,*,#19583,.T.); +#13441=EDGE_LOOP('',(#13437,#13438,#13439,#13440)); +#13442=FACE_OUTER_BOUND('',#13441,.F.); +#13443=ADVANCED_FACE('',(#13442),#13436,.F.); +#13444=CARTESIAN_POINT('',(1.397E1,4.3625E0,-1.9E-1)); +#13445=AXIS2_PLACEMENT_3D('',#13444,#12442,#76); +#13446=PLANE('',#13445); +#13447=ORIENTED_EDGE('',*,*,#17679,.F.); +#13448=ORIENTED_EDGE('',*,*,#19584,.F.); +#13449=ORIENTED_EDGE('',*,*,#19585,.T.); +#13450=ORIENTED_EDGE('',*,*,#19581,.T.); +#13451=EDGE_LOOP('',(#13447,#13448,#13449,#13450)); +#13452=FACE_OUTER_BOUND('',#13451,.F.); +#13453=ADVANCED_FACE('',(#13452),#13446,.F.); +#13454=CARTESIAN_POINT('',(1.4525E1,3.81E0,-1.9E-1)); +#13455=AXIS2_PLACEMENT_3D('',#13454,#12453,#80); +#13456=PLANE('',#13455); +#13457=ORIENTED_EDGE('',*,*,#17678,.F.); +#13458=ORIENTED_EDGE('',*,*,#19586,.F.); +#13459=ORIENTED_EDGE('',*,*,#19587,.T.); +#13460=ORIENTED_EDGE('',*,*,#19584,.T.); +#13461=EDGE_LOOP('',(#13457,#13458,#13459,#13460)); +#13462=FACE_OUTER_BOUND('',#13461,.F.); +#13463=ADVANCED_FACE('',(#13462),#13456,.F.); +#13464=CARTESIAN_POINT('',(1.397E1,3.2575E0,-1.9E-1)); +#13465=AXIS2_PLACEMENT_3D('',#13464,#12464,#84); +#13466=PLANE('',#13465); +#13467=ORIENTED_EDGE('',*,*,#17677,.F.); +#13468=ORIENTED_EDGE('',*,*,#19583,.F.); +#13469=ORIENTED_EDGE('',*,*,#19588,.T.); +#13470=ORIENTED_EDGE('',*,*,#19586,.T.); +#13471=EDGE_LOOP('',(#13467,#13468,#13469,#13470)); +#13472=FACE_OUTER_BOUND('',#13471,.F.); +#13473=ADVANCED_FACE('',(#13472),#13466,.F.); +#13474=CARTESIAN_POINT('',(5.334E1,0.E0,-3.8E-1)); +#13475=AXIS2_PLACEMENT_3D('',#13474,#2100,#84); +#13476=PLANE('',#13475); +#13477=ORIENTED_EDGE('',*,*,#19582,.F.); +#13478=ORIENTED_EDGE('',*,*,#19585,.F.); +#13479=ORIENTED_EDGE('',*,*,#19587,.F.); +#13480=ORIENTED_EDGE('',*,*,#19588,.F.); +#13481=EDGE_LOOP('',(#13477,#13478,#13479,#13480)); +#13482=FACE_OUTER_BOUND('',#13481,.F.); +#13483=ADVANCED_FACE('',(#13482),#13476,.T.); +#13484=CARTESIAN_POINT('',(1.5955E1,3.81E0,-1.9E-1)); +#13485=AXIS2_PLACEMENT_3D('',#13484,#12431,#72); +#13486=PLANE('',#13485); +#13487=ORIENTED_EDGE('',*,*,#17680,.F.); +#13488=ORIENTED_EDGE('',*,*,#19589,.F.); +#13489=ORIENTED_EDGE('',*,*,#19590,.T.); +#13490=ORIENTED_EDGE('',*,*,#19591,.T.); +#13491=EDGE_LOOP('',(#13487,#13488,#13489,#13490)); +#13492=FACE_OUTER_BOUND('',#13491,.F.); +#13493=ADVANCED_FACE('',(#13492),#13486,.F.); +#13494=CARTESIAN_POINT('',(1.651E1,4.3625E0,-1.9E-1)); +#13495=AXIS2_PLACEMENT_3D('',#13494,#12442,#76); +#13496=PLANE('',#13495); +#13497=ORIENTED_EDGE('',*,*,#17683,.F.); +#13498=ORIENTED_EDGE('',*,*,#19592,.F.); +#13499=ORIENTED_EDGE('',*,*,#19593,.T.); +#13500=ORIENTED_EDGE('',*,*,#19589,.T.); +#13501=EDGE_LOOP('',(#13497,#13498,#13499,#13500)); +#13502=FACE_OUTER_BOUND('',#13501,.F.); +#13503=ADVANCED_FACE('',(#13502),#13496,.F.); +#13504=CARTESIAN_POINT('',(1.7065E1,3.81E0,-1.9E-1)); +#13505=AXIS2_PLACEMENT_3D('',#13504,#12453,#80); +#13506=PLANE('',#13505); +#13507=ORIENTED_EDGE('',*,*,#17682,.F.); +#13508=ORIENTED_EDGE('',*,*,#19594,.F.); +#13509=ORIENTED_EDGE('',*,*,#19595,.T.); +#13510=ORIENTED_EDGE('',*,*,#19592,.T.); +#13511=EDGE_LOOP('',(#13507,#13508,#13509,#13510)); +#13512=FACE_OUTER_BOUND('',#13511,.F.); +#13513=ADVANCED_FACE('',(#13512),#13506,.F.); +#13514=CARTESIAN_POINT('',(1.651E1,3.2575E0,-1.9E-1)); +#13515=AXIS2_PLACEMENT_3D('',#13514,#12464,#84); +#13516=PLANE('',#13515); +#13517=ORIENTED_EDGE('',*,*,#17681,.F.); +#13518=ORIENTED_EDGE('',*,*,#19591,.F.); +#13519=ORIENTED_EDGE('',*,*,#19596,.T.); +#13520=ORIENTED_EDGE('',*,*,#19594,.T.); +#13521=EDGE_LOOP('',(#13517,#13518,#13519,#13520)); +#13522=FACE_OUTER_BOUND('',#13521,.F.); +#13523=ADVANCED_FACE('',(#13522),#13516,.F.); +#13524=CARTESIAN_POINT('',(5.588E1,0.E0,-3.8E-1)); +#13525=AXIS2_PLACEMENT_3D('',#13524,#2100,#84); +#13526=PLANE('',#13525); +#13527=ORIENTED_EDGE('',*,*,#19590,.F.); +#13528=ORIENTED_EDGE('',*,*,#19593,.F.); +#13529=ORIENTED_EDGE('',*,*,#19595,.F.); +#13530=ORIENTED_EDGE('',*,*,#19596,.F.); +#13531=EDGE_LOOP('',(#13527,#13528,#13529,#13530)); +#13532=FACE_OUTER_BOUND('',#13531,.F.); +#13533=ADVANCED_FACE('',(#13532),#13526,.T.); +#13534=CARTESIAN_POINT('',(1.8495E1,3.81E0,-1.9E-1)); +#13535=AXIS2_PLACEMENT_3D('',#13534,#12431,#72); +#13536=PLANE('',#13535); +#13537=ORIENTED_EDGE('',*,*,#17684,.F.); +#13538=ORIENTED_EDGE('',*,*,#19597,.F.); +#13539=ORIENTED_EDGE('',*,*,#19598,.T.); +#13540=ORIENTED_EDGE('',*,*,#19599,.T.); +#13541=EDGE_LOOP('',(#13537,#13538,#13539,#13540)); +#13542=FACE_OUTER_BOUND('',#13541,.F.); +#13543=ADVANCED_FACE('',(#13542),#13536,.F.); +#13544=CARTESIAN_POINT('',(1.905E1,4.3625E0,-1.9E-1)); +#13545=AXIS2_PLACEMENT_3D('',#13544,#12442,#76); +#13546=PLANE('',#13545); +#13547=ORIENTED_EDGE('',*,*,#17687,.F.); +#13548=ORIENTED_EDGE('',*,*,#19600,.F.); +#13549=ORIENTED_EDGE('',*,*,#19601,.T.); +#13550=ORIENTED_EDGE('',*,*,#19597,.T.); +#13551=EDGE_LOOP('',(#13547,#13548,#13549,#13550)); +#13552=FACE_OUTER_BOUND('',#13551,.F.); +#13553=ADVANCED_FACE('',(#13552),#13546,.F.); +#13554=CARTESIAN_POINT('',(1.9605E1,3.81E0,-1.9E-1)); +#13555=AXIS2_PLACEMENT_3D('',#13554,#12453,#80); +#13556=PLANE('',#13555); +#13557=ORIENTED_EDGE('',*,*,#17686,.F.); +#13558=ORIENTED_EDGE('',*,*,#19602,.F.); +#13559=ORIENTED_EDGE('',*,*,#19603,.T.); +#13560=ORIENTED_EDGE('',*,*,#19600,.T.); +#13561=EDGE_LOOP('',(#13557,#13558,#13559,#13560)); +#13562=FACE_OUTER_BOUND('',#13561,.F.); +#13563=ADVANCED_FACE('',(#13562),#13556,.F.); +#13564=CARTESIAN_POINT('',(1.905E1,3.2575E0,-1.9E-1)); +#13565=AXIS2_PLACEMENT_3D('',#13564,#12464,#84); +#13566=PLANE('',#13565); +#13567=ORIENTED_EDGE('',*,*,#17685,.F.); +#13568=ORIENTED_EDGE('',*,*,#19599,.F.); +#13569=ORIENTED_EDGE('',*,*,#19604,.T.); +#13570=ORIENTED_EDGE('',*,*,#19602,.T.); +#13571=EDGE_LOOP('',(#13567,#13568,#13569,#13570)); +#13572=FACE_OUTER_BOUND('',#13571,.F.); +#13573=ADVANCED_FACE('',(#13572),#13566,.F.); +#13574=CARTESIAN_POINT('',(5.842E1,0.E0,-3.8E-1)); +#13575=AXIS2_PLACEMENT_3D('',#13574,#2100,#84); +#13576=PLANE('',#13575); +#13577=ORIENTED_EDGE('',*,*,#19598,.F.); +#13578=ORIENTED_EDGE('',*,*,#19601,.F.); +#13579=ORIENTED_EDGE('',*,*,#19603,.F.); +#13580=ORIENTED_EDGE('',*,*,#19604,.F.); +#13581=EDGE_LOOP('',(#13577,#13578,#13579,#13580)); +#13582=FACE_OUTER_BOUND('',#13581,.F.); +#13583=ADVANCED_FACE('',(#13582),#13576,.T.); +#13584=CARTESIAN_POINT('',(2.1035E1,3.81E0,-1.9E-1)); +#13585=AXIS2_PLACEMENT_3D('',#13584,#12431,#72); +#13586=PLANE('',#13585); +#13587=ORIENTED_EDGE('',*,*,#17688,.F.); +#13588=ORIENTED_EDGE('',*,*,#19605,.F.); +#13589=ORIENTED_EDGE('',*,*,#19606,.T.); +#13590=ORIENTED_EDGE('',*,*,#19607,.T.); +#13591=EDGE_LOOP('',(#13587,#13588,#13589,#13590)); +#13592=FACE_OUTER_BOUND('',#13591,.F.); +#13593=ADVANCED_FACE('',(#13592),#13586,.F.); +#13594=CARTESIAN_POINT('',(2.159E1,4.3625E0,-1.9E-1)); +#13595=AXIS2_PLACEMENT_3D('',#13594,#12442,#76); +#13596=PLANE('',#13595); +#13597=ORIENTED_EDGE('',*,*,#17691,.F.); +#13598=ORIENTED_EDGE('',*,*,#19608,.F.); +#13599=ORIENTED_EDGE('',*,*,#19609,.T.); +#13600=ORIENTED_EDGE('',*,*,#19605,.T.); +#13601=EDGE_LOOP('',(#13597,#13598,#13599,#13600)); +#13602=FACE_OUTER_BOUND('',#13601,.F.); +#13603=ADVANCED_FACE('',(#13602),#13596,.F.); +#13604=CARTESIAN_POINT('',(2.2145E1,3.81E0,-1.9E-1)); +#13605=AXIS2_PLACEMENT_3D('',#13604,#12453,#80); +#13606=PLANE('',#13605); +#13607=ORIENTED_EDGE('',*,*,#17690,.F.); +#13608=ORIENTED_EDGE('',*,*,#19610,.F.); +#13609=ORIENTED_EDGE('',*,*,#19611,.T.); +#13610=ORIENTED_EDGE('',*,*,#19608,.T.); +#13611=EDGE_LOOP('',(#13607,#13608,#13609,#13610)); +#13612=FACE_OUTER_BOUND('',#13611,.F.); +#13613=ADVANCED_FACE('',(#13612),#13606,.F.); +#13614=CARTESIAN_POINT('',(2.159E1,3.2575E0,-1.9E-1)); +#13615=AXIS2_PLACEMENT_3D('',#13614,#12464,#84); +#13616=PLANE('',#13615); +#13617=ORIENTED_EDGE('',*,*,#17689,.F.); +#13618=ORIENTED_EDGE('',*,*,#19607,.F.); +#13619=ORIENTED_EDGE('',*,*,#19612,.T.); +#13620=ORIENTED_EDGE('',*,*,#19610,.T.); +#13621=EDGE_LOOP('',(#13617,#13618,#13619,#13620)); +#13622=FACE_OUTER_BOUND('',#13621,.F.); +#13623=ADVANCED_FACE('',(#13622),#13616,.F.); +#13624=CARTESIAN_POINT('',(6.096E1,0.E0,-3.8E-1)); +#13625=AXIS2_PLACEMENT_3D('',#13624,#2100,#84); +#13626=PLANE('',#13625); +#13627=ORIENTED_EDGE('',*,*,#19606,.F.); +#13628=ORIENTED_EDGE('',*,*,#19609,.F.); +#13629=ORIENTED_EDGE('',*,*,#19611,.F.); +#13630=ORIENTED_EDGE('',*,*,#19612,.F.); +#13631=EDGE_LOOP('',(#13627,#13628,#13629,#13630)); +#13632=FACE_OUTER_BOUND('',#13631,.F.); +#13633=ADVANCED_FACE('',(#13632),#13626,.T.); +#13634=CARTESIAN_POINT('',(2.3575E1,3.81E0,-1.9E-1)); +#13635=AXIS2_PLACEMENT_3D('',#13634,#12431,#72); +#13636=PLANE('',#13635); +#13637=ORIENTED_EDGE('',*,*,#17692,.F.); +#13638=ORIENTED_EDGE('',*,*,#19613,.F.); +#13639=ORIENTED_EDGE('',*,*,#19614,.T.); +#13640=ORIENTED_EDGE('',*,*,#19615,.T.); +#13641=EDGE_LOOP('',(#13637,#13638,#13639,#13640)); +#13642=FACE_OUTER_BOUND('',#13641,.F.); +#13643=ADVANCED_FACE('',(#13642),#13636,.F.); +#13644=CARTESIAN_POINT('',(2.413E1,4.3625E0,-1.9E-1)); +#13645=AXIS2_PLACEMENT_3D('',#13644,#12442,#76); +#13646=PLANE('',#13645); +#13647=ORIENTED_EDGE('',*,*,#17695,.F.); +#13648=ORIENTED_EDGE('',*,*,#19616,.F.); +#13649=ORIENTED_EDGE('',*,*,#19617,.T.); +#13650=ORIENTED_EDGE('',*,*,#19613,.T.); +#13651=EDGE_LOOP('',(#13647,#13648,#13649,#13650)); +#13652=FACE_OUTER_BOUND('',#13651,.F.); +#13653=ADVANCED_FACE('',(#13652),#13646,.F.); +#13654=CARTESIAN_POINT('',(2.4685E1,3.81E0,-1.9E-1)); +#13655=AXIS2_PLACEMENT_3D('',#13654,#12453,#80); +#13656=PLANE('',#13655); +#13657=ORIENTED_EDGE('',*,*,#17694,.F.); +#13658=ORIENTED_EDGE('',*,*,#19618,.F.); +#13659=ORIENTED_EDGE('',*,*,#19619,.T.); +#13660=ORIENTED_EDGE('',*,*,#19616,.T.); +#13661=EDGE_LOOP('',(#13657,#13658,#13659,#13660)); +#13662=FACE_OUTER_BOUND('',#13661,.F.); +#13663=ADVANCED_FACE('',(#13662),#13656,.F.); +#13664=CARTESIAN_POINT('',(2.413E1,3.2575E0,-1.9E-1)); +#13665=AXIS2_PLACEMENT_3D('',#13664,#12464,#84); +#13666=PLANE('',#13665); +#13667=ORIENTED_EDGE('',*,*,#17693,.F.); +#13668=ORIENTED_EDGE('',*,*,#19615,.F.); +#13669=ORIENTED_EDGE('',*,*,#19620,.T.); +#13670=ORIENTED_EDGE('',*,*,#19618,.T.); +#13671=EDGE_LOOP('',(#13667,#13668,#13669,#13670)); +#13672=FACE_OUTER_BOUND('',#13671,.F.); +#13673=ADVANCED_FACE('',(#13672),#13666,.F.); +#13674=CARTESIAN_POINT('',(6.35E1,0.E0,-3.8E-1)); +#13675=AXIS2_PLACEMENT_3D('',#13674,#2100,#84); +#13676=PLANE('',#13675); +#13677=ORIENTED_EDGE('',*,*,#19614,.F.); +#13678=ORIENTED_EDGE('',*,*,#19617,.F.); +#13679=ORIENTED_EDGE('',*,*,#19619,.F.); +#13680=ORIENTED_EDGE('',*,*,#19620,.F.); +#13681=EDGE_LOOP('',(#13677,#13678,#13679,#13680)); +#13682=FACE_OUTER_BOUND('',#13681,.F.); +#13683=ADVANCED_FACE('',(#13682),#13676,.T.); +#13684=CARTESIAN_POINT('',(2.6115E1,3.81E0,-1.9E-1)); +#13685=AXIS2_PLACEMENT_3D('',#13684,#12431,#72); +#13686=PLANE('',#13685); +#13687=ORIENTED_EDGE('',*,*,#17696,.F.); +#13688=ORIENTED_EDGE('',*,*,#19621,.F.); +#13689=ORIENTED_EDGE('',*,*,#19622,.T.); +#13690=ORIENTED_EDGE('',*,*,#19623,.T.); +#13691=EDGE_LOOP('',(#13687,#13688,#13689,#13690)); +#13692=FACE_OUTER_BOUND('',#13691,.F.); +#13693=ADVANCED_FACE('',(#13692),#13686,.F.); +#13694=CARTESIAN_POINT('',(2.667E1,4.3625E0,-1.9E-1)); +#13695=AXIS2_PLACEMENT_3D('',#13694,#12442,#76); +#13696=PLANE('',#13695); +#13697=ORIENTED_EDGE('',*,*,#17699,.F.); +#13698=ORIENTED_EDGE('',*,*,#19624,.F.); +#13699=ORIENTED_EDGE('',*,*,#19625,.T.); +#13700=ORIENTED_EDGE('',*,*,#19621,.T.); +#13701=EDGE_LOOP('',(#13697,#13698,#13699,#13700)); +#13702=FACE_OUTER_BOUND('',#13701,.F.); +#13703=ADVANCED_FACE('',(#13702),#13696,.F.); +#13704=CARTESIAN_POINT('',(2.7225E1,3.81E0,-1.9E-1)); +#13705=AXIS2_PLACEMENT_3D('',#13704,#12453,#80); +#13706=PLANE('',#13705); +#13707=ORIENTED_EDGE('',*,*,#17698,.F.); +#13708=ORIENTED_EDGE('',*,*,#19626,.F.); +#13709=ORIENTED_EDGE('',*,*,#19627,.T.); +#13710=ORIENTED_EDGE('',*,*,#19624,.T.); +#13711=EDGE_LOOP('',(#13707,#13708,#13709,#13710)); +#13712=FACE_OUTER_BOUND('',#13711,.F.); +#13713=ADVANCED_FACE('',(#13712),#13706,.F.); +#13714=CARTESIAN_POINT('',(2.667E1,3.2575E0,-1.9E-1)); +#13715=AXIS2_PLACEMENT_3D('',#13714,#12464,#84); +#13716=PLANE('',#13715); +#13717=ORIENTED_EDGE('',*,*,#17697,.F.); +#13718=ORIENTED_EDGE('',*,*,#19623,.F.); +#13719=ORIENTED_EDGE('',*,*,#19628,.T.); +#13720=ORIENTED_EDGE('',*,*,#19626,.T.); +#13721=EDGE_LOOP('',(#13717,#13718,#13719,#13720)); +#13722=FACE_OUTER_BOUND('',#13721,.F.); +#13723=ADVANCED_FACE('',(#13722),#13716,.F.); +#13724=CARTESIAN_POINT('',(6.604E1,0.E0,-3.8E-1)); +#13725=AXIS2_PLACEMENT_3D('',#13724,#2100,#84); +#13726=PLANE('',#13725); +#13727=ORIENTED_EDGE('',*,*,#19622,.F.); +#13728=ORIENTED_EDGE('',*,*,#19625,.F.); +#13729=ORIENTED_EDGE('',*,*,#19627,.F.); +#13730=ORIENTED_EDGE('',*,*,#19628,.F.); +#13731=EDGE_LOOP('',(#13727,#13728,#13729,#13730)); +#13732=FACE_OUTER_BOUND('',#13731,.F.); +#13733=ADVANCED_FACE('',(#13732),#13726,.T.); +#13734=CARTESIAN_POINT('',(2.8655E1,3.81E0,-1.9E-1)); +#13735=AXIS2_PLACEMENT_3D('',#13734,#12431,#72); +#13736=PLANE('',#13735); +#13737=ORIENTED_EDGE('',*,*,#17700,.F.); +#13738=ORIENTED_EDGE('',*,*,#19629,.F.); +#13739=ORIENTED_EDGE('',*,*,#19630,.T.); +#13740=ORIENTED_EDGE('',*,*,#19631,.T.); +#13741=EDGE_LOOP('',(#13737,#13738,#13739,#13740)); +#13742=FACE_OUTER_BOUND('',#13741,.F.); +#13743=ADVANCED_FACE('',(#13742),#13736,.F.); +#13744=CARTESIAN_POINT('',(2.921E1,4.3625E0,-1.9E-1)); +#13745=AXIS2_PLACEMENT_3D('',#13744,#12442,#76); +#13746=PLANE('',#13745); +#13747=ORIENTED_EDGE('',*,*,#17703,.F.); +#13748=ORIENTED_EDGE('',*,*,#19632,.F.); +#13749=ORIENTED_EDGE('',*,*,#19633,.T.); +#13750=ORIENTED_EDGE('',*,*,#19629,.T.); +#13751=EDGE_LOOP('',(#13747,#13748,#13749,#13750)); +#13752=FACE_OUTER_BOUND('',#13751,.F.); +#13753=ADVANCED_FACE('',(#13752),#13746,.F.); +#13754=CARTESIAN_POINT('',(2.9765E1,3.81E0,-1.9E-1)); +#13755=AXIS2_PLACEMENT_3D('',#13754,#12453,#80); +#13756=PLANE('',#13755); +#13757=ORIENTED_EDGE('',*,*,#17702,.F.); +#13758=ORIENTED_EDGE('',*,*,#19634,.F.); +#13759=ORIENTED_EDGE('',*,*,#19635,.T.); +#13760=ORIENTED_EDGE('',*,*,#19632,.T.); +#13761=EDGE_LOOP('',(#13757,#13758,#13759,#13760)); +#13762=FACE_OUTER_BOUND('',#13761,.F.); +#13763=ADVANCED_FACE('',(#13762),#13756,.F.); +#13764=CARTESIAN_POINT('',(2.921E1,3.2575E0,-1.9E-1)); +#13765=AXIS2_PLACEMENT_3D('',#13764,#12464,#84); +#13766=PLANE('',#13765); +#13767=ORIENTED_EDGE('',*,*,#17701,.F.); +#13768=ORIENTED_EDGE('',*,*,#19631,.F.); +#13769=ORIENTED_EDGE('',*,*,#19636,.T.); +#13770=ORIENTED_EDGE('',*,*,#19634,.T.); +#13771=EDGE_LOOP('',(#13767,#13768,#13769,#13770)); +#13772=FACE_OUTER_BOUND('',#13771,.F.); +#13773=ADVANCED_FACE('',(#13772),#13766,.F.); +#13774=CARTESIAN_POINT('',(6.858E1,0.E0,-3.8E-1)); +#13775=AXIS2_PLACEMENT_3D('',#13774,#2100,#84); +#13776=PLANE('',#13775); +#13777=ORIENTED_EDGE('',*,*,#19630,.F.); +#13778=ORIENTED_EDGE('',*,*,#19633,.F.); +#13779=ORIENTED_EDGE('',*,*,#19635,.F.); +#13780=ORIENTED_EDGE('',*,*,#19636,.F.); +#13781=EDGE_LOOP('',(#13777,#13778,#13779,#13780)); +#13782=FACE_OUTER_BOUND('',#13781,.F.); +#13783=ADVANCED_FACE('',(#13782),#13776,.T.); +#13784=CARTESIAN_POINT('',(3.1195E1,3.81E0,-1.9E-1)); +#13785=AXIS2_PLACEMENT_3D('',#13784,#12431,#72); +#13786=PLANE('',#13785); +#13787=ORIENTED_EDGE('',*,*,#17704,.F.); +#13788=ORIENTED_EDGE('',*,*,#19637,.F.); +#13789=ORIENTED_EDGE('',*,*,#19638,.T.); +#13790=ORIENTED_EDGE('',*,*,#19639,.T.); +#13791=EDGE_LOOP('',(#13787,#13788,#13789,#13790)); +#13792=FACE_OUTER_BOUND('',#13791,.F.); +#13793=ADVANCED_FACE('',(#13792),#13786,.F.); +#13794=CARTESIAN_POINT('',(3.175E1,4.3625E0,-1.9E-1)); +#13795=AXIS2_PLACEMENT_3D('',#13794,#12442,#76); +#13796=PLANE('',#13795); +#13797=ORIENTED_EDGE('',*,*,#17707,.F.); +#13798=ORIENTED_EDGE('',*,*,#19640,.F.); +#13799=ORIENTED_EDGE('',*,*,#19641,.T.); +#13800=ORIENTED_EDGE('',*,*,#19637,.T.); +#13801=EDGE_LOOP('',(#13797,#13798,#13799,#13800)); +#13802=FACE_OUTER_BOUND('',#13801,.F.); +#13803=ADVANCED_FACE('',(#13802),#13796,.F.); +#13804=CARTESIAN_POINT('',(3.2305E1,3.81E0,-1.9E-1)); +#13805=AXIS2_PLACEMENT_3D('',#13804,#12453,#80); +#13806=PLANE('',#13805); +#13807=ORIENTED_EDGE('',*,*,#17706,.F.); +#13808=ORIENTED_EDGE('',*,*,#19642,.F.); +#13809=ORIENTED_EDGE('',*,*,#19643,.T.); +#13810=ORIENTED_EDGE('',*,*,#19640,.T.); +#13811=EDGE_LOOP('',(#13807,#13808,#13809,#13810)); +#13812=FACE_OUTER_BOUND('',#13811,.F.); +#13813=ADVANCED_FACE('',(#13812),#13806,.F.); +#13814=CARTESIAN_POINT('',(3.175E1,3.2575E0,-1.9E-1)); +#13815=AXIS2_PLACEMENT_3D('',#13814,#12464,#84); +#13816=PLANE('',#13815); +#13817=ORIENTED_EDGE('',*,*,#17705,.F.); +#13818=ORIENTED_EDGE('',*,*,#19639,.F.); +#13819=ORIENTED_EDGE('',*,*,#19644,.T.); +#13820=ORIENTED_EDGE('',*,*,#19642,.T.); +#13821=EDGE_LOOP('',(#13817,#13818,#13819,#13820)); +#13822=FACE_OUTER_BOUND('',#13821,.F.); +#13823=ADVANCED_FACE('',(#13822),#13816,.F.); +#13824=CARTESIAN_POINT('',(7.112E1,0.E0,-3.8E-1)); +#13825=AXIS2_PLACEMENT_3D('',#13824,#2100,#84); +#13826=PLANE('',#13825); +#13827=ORIENTED_EDGE('',*,*,#19638,.F.); +#13828=ORIENTED_EDGE('',*,*,#19641,.F.); +#13829=ORIENTED_EDGE('',*,*,#19643,.F.); +#13830=ORIENTED_EDGE('',*,*,#19644,.F.); +#13831=EDGE_LOOP('',(#13827,#13828,#13829,#13830)); +#13832=FACE_OUTER_BOUND('',#13831,.F.); +#13833=ADVANCED_FACE('',(#13832),#13826,.T.); +#13834=CARTESIAN_POINT('',(3.3735E1,3.81E0,-1.9E-1)); +#13835=AXIS2_PLACEMENT_3D('',#13834,#12431,#72); +#13836=PLANE('',#13835); +#13837=ORIENTED_EDGE('',*,*,#17708,.F.); +#13838=ORIENTED_EDGE('',*,*,#19645,.F.); +#13839=ORIENTED_EDGE('',*,*,#19646,.T.); +#13840=ORIENTED_EDGE('',*,*,#19647,.T.); +#13841=EDGE_LOOP('',(#13837,#13838,#13839,#13840)); +#13842=FACE_OUTER_BOUND('',#13841,.F.); +#13843=ADVANCED_FACE('',(#13842),#13836,.F.); +#13844=CARTESIAN_POINT('',(3.429E1,4.3625E0,-1.9E-1)); +#13845=AXIS2_PLACEMENT_3D('',#13844,#12442,#76); +#13846=PLANE('',#13845); +#13847=ORIENTED_EDGE('',*,*,#17711,.F.); +#13848=ORIENTED_EDGE('',*,*,#19648,.F.); +#13849=ORIENTED_EDGE('',*,*,#19649,.T.); +#13850=ORIENTED_EDGE('',*,*,#19645,.T.); +#13851=EDGE_LOOP('',(#13847,#13848,#13849,#13850)); +#13852=FACE_OUTER_BOUND('',#13851,.F.); +#13853=ADVANCED_FACE('',(#13852),#13846,.F.); +#13854=CARTESIAN_POINT('',(3.4845E1,3.81E0,-1.9E-1)); +#13855=AXIS2_PLACEMENT_3D('',#13854,#12453,#80); +#13856=PLANE('',#13855); +#13857=ORIENTED_EDGE('',*,*,#17710,.F.); +#13858=ORIENTED_EDGE('',*,*,#19650,.F.); +#13859=ORIENTED_EDGE('',*,*,#19651,.T.); +#13860=ORIENTED_EDGE('',*,*,#19648,.T.); +#13861=EDGE_LOOP('',(#13857,#13858,#13859,#13860)); +#13862=FACE_OUTER_BOUND('',#13861,.F.); +#13863=ADVANCED_FACE('',(#13862),#13856,.F.); +#13864=CARTESIAN_POINT('',(3.429E1,3.2575E0,-1.9E-1)); +#13865=AXIS2_PLACEMENT_3D('',#13864,#12464,#84); +#13866=PLANE('',#13865); +#13867=ORIENTED_EDGE('',*,*,#17709,.F.); +#13868=ORIENTED_EDGE('',*,*,#19647,.F.); +#13869=ORIENTED_EDGE('',*,*,#19652,.T.); +#13870=ORIENTED_EDGE('',*,*,#19650,.T.); +#13871=EDGE_LOOP('',(#13867,#13868,#13869,#13870)); +#13872=FACE_OUTER_BOUND('',#13871,.F.); +#13873=ADVANCED_FACE('',(#13872),#13866,.F.); +#13874=CARTESIAN_POINT('',(7.366E1,0.E0,-3.8E-1)); +#13875=AXIS2_PLACEMENT_3D('',#13874,#2100,#84); +#13876=PLANE('',#13875); +#13877=ORIENTED_EDGE('',*,*,#19646,.F.); +#13878=ORIENTED_EDGE('',*,*,#19649,.F.); +#13879=ORIENTED_EDGE('',*,*,#19651,.F.); +#13880=ORIENTED_EDGE('',*,*,#19652,.F.); +#13881=EDGE_LOOP('',(#13877,#13878,#13879,#13880)); +#13882=FACE_OUTER_BOUND('',#13881,.F.); +#13883=ADVANCED_FACE('',(#13882),#13876,.T.); +#13884=CARTESIAN_POINT('',(3.6275E1,3.81E0,-1.9E-1)); +#13885=AXIS2_PLACEMENT_3D('',#13884,#12431,#72); +#13886=PLANE('',#13885); +#13887=ORIENTED_EDGE('',*,*,#17712,.F.); +#13888=ORIENTED_EDGE('',*,*,#19653,.F.); +#13889=ORIENTED_EDGE('',*,*,#19654,.T.); +#13890=ORIENTED_EDGE('',*,*,#19655,.T.); +#13891=EDGE_LOOP('',(#13887,#13888,#13889,#13890)); +#13892=FACE_OUTER_BOUND('',#13891,.F.); +#13893=ADVANCED_FACE('',(#13892),#13886,.F.); +#13894=CARTESIAN_POINT('',(3.683E1,4.3625E0,-1.9E-1)); +#13895=AXIS2_PLACEMENT_3D('',#13894,#12442,#76); +#13896=PLANE('',#13895); +#13897=ORIENTED_EDGE('',*,*,#17715,.F.); +#13898=ORIENTED_EDGE('',*,*,#19656,.F.); +#13899=ORIENTED_EDGE('',*,*,#19657,.T.); +#13900=ORIENTED_EDGE('',*,*,#19653,.T.); +#13901=EDGE_LOOP('',(#13897,#13898,#13899,#13900)); +#13902=FACE_OUTER_BOUND('',#13901,.F.); +#13903=ADVANCED_FACE('',(#13902),#13896,.F.); +#13904=CARTESIAN_POINT('',(3.7385E1,3.81E0,-1.9E-1)); +#13905=AXIS2_PLACEMENT_3D('',#13904,#12453,#80); +#13906=PLANE('',#13905); +#13907=ORIENTED_EDGE('',*,*,#17714,.F.); +#13908=ORIENTED_EDGE('',*,*,#19658,.F.); +#13909=ORIENTED_EDGE('',*,*,#19659,.T.); +#13910=ORIENTED_EDGE('',*,*,#19656,.T.); +#13911=EDGE_LOOP('',(#13907,#13908,#13909,#13910)); +#13912=FACE_OUTER_BOUND('',#13911,.F.); +#13913=ADVANCED_FACE('',(#13912),#13906,.F.); +#13914=CARTESIAN_POINT('',(3.683E1,3.2575E0,-1.9E-1)); +#13915=AXIS2_PLACEMENT_3D('',#13914,#12464,#84); +#13916=PLANE('',#13915); +#13917=ORIENTED_EDGE('',*,*,#17713,.F.); +#13918=ORIENTED_EDGE('',*,*,#19655,.F.); +#13919=ORIENTED_EDGE('',*,*,#19660,.T.); +#13920=ORIENTED_EDGE('',*,*,#19658,.T.); +#13921=EDGE_LOOP('',(#13917,#13918,#13919,#13920)); +#13922=FACE_OUTER_BOUND('',#13921,.F.); +#13923=ADVANCED_FACE('',(#13922),#13916,.F.); +#13924=CARTESIAN_POINT('',(7.62E1,0.E0,-3.8E-1)); +#13925=AXIS2_PLACEMENT_3D('',#13924,#2100,#84); +#13926=PLANE('',#13925); +#13927=ORIENTED_EDGE('',*,*,#19654,.F.); +#13928=ORIENTED_EDGE('',*,*,#19657,.F.); +#13929=ORIENTED_EDGE('',*,*,#19659,.F.); +#13930=ORIENTED_EDGE('',*,*,#19660,.F.); +#13931=EDGE_LOOP('',(#13927,#13928,#13929,#13930)); +#13932=FACE_OUTER_BOUND('',#13931,.F.); +#13933=ADVANCED_FACE('',(#13932),#13926,.T.); +#13934=CARTESIAN_POINT('',(3.8815E1,3.81E0,-1.9E-1)); +#13935=AXIS2_PLACEMENT_3D('',#13934,#12431,#72); +#13936=PLANE('',#13935); +#13937=ORIENTED_EDGE('',*,*,#17716,.F.); +#13938=ORIENTED_EDGE('',*,*,#19661,.F.); +#13939=ORIENTED_EDGE('',*,*,#19662,.T.); +#13940=ORIENTED_EDGE('',*,*,#19663,.T.); +#13941=EDGE_LOOP('',(#13937,#13938,#13939,#13940)); +#13942=FACE_OUTER_BOUND('',#13941,.F.); +#13943=ADVANCED_FACE('',(#13942),#13936,.F.); +#13944=CARTESIAN_POINT('',(3.937E1,4.3625E0,-1.9E-1)); +#13945=AXIS2_PLACEMENT_3D('',#13944,#12442,#76); +#13946=PLANE('',#13945); +#13947=ORIENTED_EDGE('',*,*,#17719,.F.); +#13948=ORIENTED_EDGE('',*,*,#19664,.F.); +#13949=ORIENTED_EDGE('',*,*,#19665,.T.); +#13950=ORIENTED_EDGE('',*,*,#19661,.T.); +#13951=EDGE_LOOP('',(#13947,#13948,#13949,#13950)); +#13952=FACE_OUTER_BOUND('',#13951,.F.); +#13953=ADVANCED_FACE('',(#13952),#13946,.F.); +#13954=CARTESIAN_POINT('',(3.9925E1,3.81E0,-1.9E-1)); +#13955=AXIS2_PLACEMENT_3D('',#13954,#12453,#80); +#13956=PLANE('',#13955); +#13957=ORIENTED_EDGE('',*,*,#17718,.F.); +#13958=ORIENTED_EDGE('',*,*,#19666,.F.); +#13959=ORIENTED_EDGE('',*,*,#19667,.T.); +#13960=ORIENTED_EDGE('',*,*,#19664,.T.); +#13961=EDGE_LOOP('',(#13957,#13958,#13959,#13960)); +#13962=FACE_OUTER_BOUND('',#13961,.F.); +#13963=ADVANCED_FACE('',(#13962),#13956,.F.); +#13964=CARTESIAN_POINT('',(3.937E1,3.2575E0,-1.9E-1)); +#13965=AXIS2_PLACEMENT_3D('',#13964,#12464,#84); +#13966=PLANE('',#13965); +#13967=ORIENTED_EDGE('',*,*,#17717,.F.); +#13968=ORIENTED_EDGE('',*,*,#19663,.F.); +#13969=ORIENTED_EDGE('',*,*,#19668,.T.); +#13970=ORIENTED_EDGE('',*,*,#19666,.T.); +#13971=EDGE_LOOP('',(#13967,#13968,#13969,#13970)); +#13972=FACE_OUTER_BOUND('',#13971,.F.); +#13973=ADVANCED_FACE('',(#13972),#13966,.F.); +#13974=CARTESIAN_POINT('',(7.874E1,0.E0,-3.8E-1)); +#13975=AXIS2_PLACEMENT_3D('',#13974,#2100,#84); +#13976=PLANE('',#13975); +#13977=ORIENTED_EDGE('',*,*,#19662,.F.); +#13978=ORIENTED_EDGE('',*,*,#19665,.F.); +#13979=ORIENTED_EDGE('',*,*,#19667,.F.); +#13980=ORIENTED_EDGE('',*,*,#19668,.F.); +#13981=EDGE_LOOP('',(#13977,#13978,#13979,#13980)); +#13982=FACE_OUTER_BOUND('',#13981,.F.); +#13983=ADVANCED_FACE('',(#13982),#13976,.T.); +#13984=CARTESIAN_POINT('',(-3.9925E1,1.27E0,-1.9E-1)); +#13985=AXIS2_PLACEMENT_3D('',#13984,#12431,#72); +#13986=PLANE('',#13985); +#13987=ORIENTED_EDGE('',*,*,#17720,.F.); +#13988=ORIENTED_EDGE('',*,*,#19669,.F.); +#13989=ORIENTED_EDGE('',*,*,#19670,.T.); +#13990=ORIENTED_EDGE('',*,*,#19671,.T.); +#13991=EDGE_LOOP('',(#13987,#13988,#13989,#13990)); +#13992=FACE_OUTER_BOUND('',#13991,.F.); +#13993=ADVANCED_FACE('',(#13992),#13986,.F.); +#13994=CARTESIAN_POINT('',(-3.937E1,1.8225E0,-1.9E-1)); +#13995=AXIS2_PLACEMENT_3D('',#13994,#12442,#76); +#13996=PLANE('',#13995); +#13997=ORIENTED_EDGE('',*,*,#17723,.F.); +#13998=ORIENTED_EDGE('',*,*,#19672,.F.); +#13999=ORIENTED_EDGE('',*,*,#19673,.T.); +#14000=ORIENTED_EDGE('',*,*,#19669,.T.); +#14001=EDGE_LOOP('',(#13997,#13998,#13999,#14000)); +#14002=FACE_OUTER_BOUND('',#14001,.F.); +#14003=ADVANCED_FACE('',(#14002),#13996,.F.); +#14004=CARTESIAN_POINT('',(-3.8815E1,1.27E0,-1.9E-1)); +#14005=AXIS2_PLACEMENT_3D('',#14004,#12453,#80); +#14006=PLANE('',#14005); +#14007=ORIENTED_EDGE('',*,*,#17722,.F.); +#14008=ORIENTED_EDGE('',*,*,#19674,.F.); +#14009=ORIENTED_EDGE('',*,*,#19675,.T.); +#14010=ORIENTED_EDGE('',*,*,#19672,.T.); +#14011=EDGE_LOOP('',(#14007,#14008,#14009,#14010)); +#14012=FACE_OUTER_BOUND('',#14011,.F.); +#14013=ADVANCED_FACE('',(#14012),#14006,.F.); +#14014=CARTESIAN_POINT('',(-3.937E1,7.175E-1,-1.9E-1)); +#14015=AXIS2_PLACEMENT_3D('',#14014,#12464,#84); +#14016=PLANE('',#14015); +#14017=ORIENTED_EDGE('',*,*,#17721,.F.); +#14018=ORIENTED_EDGE('',*,*,#19671,.F.); +#14019=ORIENTED_EDGE('',*,*,#19676,.T.); +#14020=ORIENTED_EDGE('',*,*,#19674,.T.); +#14021=EDGE_LOOP('',(#14017,#14018,#14019,#14020)); +#14022=FACE_OUTER_BOUND('',#14021,.F.); +#14023=ADVANCED_FACE('',(#14022),#14016,.F.); +#14024=CARTESIAN_POINT('',(0.E0,-2.54E0,-3.8E-1)); +#14025=AXIS2_PLACEMENT_3D('',#14024,#2100,#84); +#14026=PLANE('',#14025); +#14027=ORIENTED_EDGE('',*,*,#19670,.F.); +#14028=ORIENTED_EDGE('',*,*,#19673,.F.); +#14029=ORIENTED_EDGE('',*,*,#19675,.F.); +#14030=ORIENTED_EDGE('',*,*,#19676,.F.); +#14031=EDGE_LOOP('',(#14027,#14028,#14029,#14030)); +#14032=FACE_OUTER_BOUND('',#14031,.F.); +#14033=ADVANCED_FACE('',(#14032),#14026,.T.); +#14034=CARTESIAN_POINT('',(-3.7385E1,1.27E0,-1.9E-1)); +#14035=AXIS2_PLACEMENT_3D('',#14034,#12431,#72); +#14036=PLANE('',#14035); +#14037=ORIENTED_EDGE('',*,*,#17724,.F.); +#14038=ORIENTED_EDGE('',*,*,#19677,.F.); +#14039=ORIENTED_EDGE('',*,*,#19678,.T.); +#14040=ORIENTED_EDGE('',*,*,#19679,.T.); +#14041=EDGE_LOOP('',(#14037,#14038,#14039,#14040)); +#14042=FACE_OUTER_BOUND('',#14041,.F.); +#14043=ADVANCED_FACE('',(#14042),#14036,.F.); +#14044=CARTESIAN_POINT('',(-3.683E1,1.8225E0,-1.9E-1)); +#14045=AXIS2_PLACEMENT_3D('',#14044,#12442,#76); +#14046=PLANE('',#14045); +#14047=ORIENTED_EDGE('',*,*,#17727,.F.); +#14048=ORIENTED_EDGE('',*,*,#19680,.F.); +#14049=ORIENTED_EDGE('',*,*,#19681,.T.); +#14050=ORIENTED_EDGE('',*,*,#19677,.T.); +#14051=EDGE_LOOP('',(#14047,#14048,#14049,#14050)); +#14052=FACE_OUTER_BOUND('',#14051,.F.); +#14053=ADVANCED_FACE('',(#14052),#14046,.F.); +#14054=CARTESIAN_POINT('',(-3.6275E1,1.27E0,-1.9E-1)); +#14055=AXIS2_PLACEMENT_3D('',#14054,#12453,#80); +#14056=PLANE('',#14055); +#14057=ORIENTED_EDGE('',*,*,#17726,.F.); +#14058=ORIENTED_EDGE('',*,*,#19682,.F.); +#14059=ORIENTED_EDGE('',*,*,#19683,.T.); +#14060=ORIENTED_EDGE('',*,*,#19680,.T.); +#14061=EDGE_LOOP('',(#14057,#14058,#14059,#14060)); +#14062=FACE_OUTER_BOUND('',#14061,.F.); +#14063=ADVANCED_FACE('',(#14062),#14056,.F.); +#14064=CARTESIAN_POINT('',(-3.683E1,7.175E-1,-1.9E-1)); +#14065=AXIS2_PLACEMENT_3D('',#14064,#12464,#84); +#14066=PLANE('',#14065); +#14067=ORIENTED_EDGE('',*,*,#17725,.F.); +#14068=ORIENTED_EDGE('',*,*,#19679,.F.); +#14069=ORIENTED_EDGE('',*,*,#19684,.T.); +#14070=ORIENTED_EDGE('',*,*,#19682,.T.); +#14071=EDGE_LOOP('',(#14067,#14068,#14069,#14070)); +#14072=FACE_OUTER_BOUND('',#14071,.F.); +#14073=ADVANCED_FACE('',(#14072),#14066,.F.); +#14074=CARTESIAN_POINT('',(2.54E0,-2.54E0,-3.8E-1)); +#14075=AXIS2_PLACEMENT_3D('',#14074,#2100,#84); +#14076=PLANE('',#14075); +#14077=ORIENTED_EDGE('',*,*,#19678,.F.); +#14078=ORIENTED_EDGE('',*,*,#19681,.F.); +#14079=ORIENTED_EDGE('',*,*,#19683,.F.); +#14080=ORIENTED_EDGE('',*,*,#19684,.F.); +#14081=EDGE_LOOP('',(#14077,#14078,#14079,#14080)); +#14082=FACE_OUTER_BOUND('',#14081,.F.); +#14083=ADVANCED_FACE('',(#14082),#14076,.T.); +#14084=CARTESIAN_POINT('',(-3.4845E1,1.27E0,-1.9E-1)); +#14085=AXIS2_PLACEMENT_3D('',#14084,#12431,#72); +#14086=PLANE('',#14085); +#14087=ORIENTED_EDGE('',*,*,#17728,.F.); +#14088=ORIENTED_EDGE('',*,*,#19685,.F.); +#14089=ORIENTED_EDGE('',*,*,#19686,.T.); +#14090=ORIENTED_EDGE('',*,*,#19687,.T.); +#14091=EDGE_LOOP('',(#14087,#14088,#14089,#14090)); +#14092=FACE_OUTER_BOUND('',#14091,.F.); +#14093=ADVANCED_FACE('',(#14092),#14086,.F.); +#14094=CARTESIAN_POINT('',(-3.429E1,1.8225E0,-1.9E-1)); +#14095=AXIS2_PLACEMENT_3D('',#14094,#12442,#76); +#14096=PLANE('',#14095); +#14097=ORIENTED_EDGE('',*,*,#17731,.F.); +#14098=ORIENTED_EDGE('',*,*,#19688,.F.); +#14099=ORIENTED_EDGE('',*,*,#19689,.T.); +#14100=ORIENTED_EDGE('',*,*,#19685,.T.); +#14101=EDGE_LOOP('',(#14097,#14098,#14099,#14100)); +#14102=FACE_OUTER_BOUND('',#14101,.F.); +#14103=ADVANCED_FACE('',(#14102),#14096,.F.); +#14104=CARTESIAN_POINT('',(-3.3735E1,1.27E0,-1.9E-1)); +#14105=AXIS2_PLACEMENT_3D('',#14104,#12453,#80); +#14106=PLANE('',#14105); +#14107=ORIENTED_EDGE('',*,*,#17730,.F.); +#14108=ORIENTED_EDGE('',*,*,#19690,.F.); +#14109=ORIENTED_EDGE('',*,*,#19691,.T.); +#14110=ORIENTED_EDGE('',*,*,#19688,.T.); +#14111=EDGE_LOOP('',(#14107,#14108,#14109,#14110)); +#14112=FACE_OUTER_BOUND('',#14111,.F.); +#14113=ADVANCED_FACE('',(#14112),#14106,.F.); +#14114=CARTESIAN_POINT('',(-3.429E1,7.175E-1,-1.9E-1)); +#14115=AXIS2_PLACEMENT_3D('',#14114,#12464,#84); +#14116=PLANE('',#14115); +#14117=ORIENTED_EDGE('',*,*,#17729,.F.); +#14118=ORIENTED_EDGE('',*,*,#19687,.F.); +#14119=ORIENTED_EDGE('',*,*,#19692,.T.); +#14120=ORIENTED_EDGE('',*,*,#19690,.T.); +#14121=EDGE_LOOP('',(#14117,#14118,#14119,#14120)); +#14122=FACE_OUTER_BOUND('',#14121,.F.); +#14123=ADVANCED_FACE('',(#14122),#14116,.F.); +#14124=CARTESIAN_POINT('',(5.08E0,-2.54E0,-3.8E-1)); +#14125=AXIS2_PLACEMENT_3D('',#14124,#2100,#84); +#14126=PLANE('',#14125); +#14127=ORIENTED_EDGE('',*,*,#19686,.F.); +#14128=ORIENTED_EDGE('',*,*,#19689,.F.); +#14129=ORIENTED_EDGE('',*,*,#19691,.F.); +#14130=ORIENTED_EDGE('',*,*,#19692,.F.); +#14131=EDGE_LOOP('',(#14127,#14128,#14129,#14130)); +#14132=FACE_OUTER_BOUND('',#14131,.F.); +#14133=ADVANCED_FACE('',(#14132),#14126,.T.); +#14134=CARTESIAN_POINT('',(-3.2305E1,1.27E0,-1.9E-1)); +#14135=AXIS2_PLACEMENT_3D('',#14134,#12431,#72); +#14136=PLANE('',#14135); +#14137=ORIENTED_EDGE('',*,*,#17732,.F.); +#14138=ORIENTED_EDGE('',*,*,#19693,.F.); +#14139=ORIENTED_EDGE('',*,*,#19694,.T.); +#14140=ORIENTED_EDGE('',*,*,#19695,.T.); +#14141=EDGE_LOOP('',(#14137,#14138,#14139,#14140)); +#14142=FACE_OUTER_BOUND('',#14141,.F.); +#14143=ADVANCED_FACE('',(#14142),#14136,.F.); +#14144=CARTESIAN_POINT('',(-3.175E1,1.8225E0,-1.9E-1)); +#14145=AXIS2_PLACEMENT_3D('',#14144,#12442,#76); +#14146=PLANE('',#14145); +#14147=ORIENTED_EDGE('',*,*,#17735,.F.); +#14148=ORIENTED_EDGE('',*,*,#19696,.F.); +#14149=ORIENTED_EDGE('',*,*,#19697,.T.); +#14150=ORIENTED_EDGE('',*,*,#19693,.T.); +#14151=EDGE_LOOP('',(#14147,#14148,#14149,#14150)); +#14152=FACE_OUTER_BOUND('',#14151,.F.); +#14153=ADVANCED_FACE('',(#14152),#14146,.F.); +#14154=CARTESIAN_POINT('',(-3.1195E1,1.27E0,-1.9E-1)); +#14155=AXIS2_PLACEMENT_3D('',#14154,#12453,#80); +#14156=PLANE('',#14155); +#14157=ORIENTED_EDGE('',*,*,#17734,.F.); +#14158=ORIENTED_EDGE('',*,*,#19698,.F.); +#14159=ORIENTED_EDGE('',*,*,#19699,.T.); +#14160=ORIENTED_EDGE('',*,*,#19696,.T.); +#14161=EDGE_LOOP('',(#14157,#14158,#14159,#14160)); +#14162=FACE_OUTER_BOUND('',#14161,.F.); +#14163=ADVANCED_FACE('',(#14162),#14156,.F.); +#14164=CARTESIAN_POINT('',(-3.175E1,7.175E-1,-1.9E-1)); +#14165=AXIS2_PLACEMENT_3D('',#14164,#12464,#84); +#14166=PLANE('',#14165); +#14167=ORIENTED_EDGE('',*,*,#17733,.F.); +#14168=ORIENTED_EDGE('',*,*,#19695,.F.); +#14169=ORIENTED_EDGE('',*,*,#19700,.T.); +#14170=ORIENTED_EDGE('',*,*,#19698,.T.); +#14171=EDGE_LOOP('',(#14167,#14168,#14169,#14170)); +#14172=FACE_OUTER_BOUND('',#14171,.F.); +#14173=ADVANCED_FACE('',(#14172),#14166,.F.); +#14174=CARTESIAN_POINT('',(7.62E0,-2.54E0,-3.8E-1)); +#14175=AXIS2_PLACEMENT_3D('',#14174,#2100,#84); +#14176=PLANE('',#14175); +#14177=ORIENTED_EDGE('',*,*,#19694,.F.); +#14178=ORIENTED_EDGE('',*,*,#19697,.F.); +#14179=ORIENTED_EDGE('',*,*,#19699,.F.); +#14180=ORIENTED_EDGE('',*,*,#19700,.F.); +#14181=EDGE_LOOP('',(#14177,#14178,#14179,#14180)); +#14182=FACE_OUTER_BOUND('',#14181,.F.); +#14183=ADVANCED_FACE('',(#14182),#14176,.T.); +#14184=CARTESIAN_POINT('',(-2.9765E1,1.27E0,-1.9E-1)); +#14185=AXIS2_PLACEMENT_3D('',#14184,#12431,#72); +#14186=PLANE('',#14185); +#14187=ORIENTED_EDGE('',*,*,#17736,.F.); +#14188=ORIENTED_EDGE('',*,*,#19701,.F.); +#14189=ORIENTED_EDGE('',*,*,#19702,.T.); +#14190=ORIENTED_EDGE('',*,*,#19703,.T.); +#14191=EDGE_LOOP('',(#14187,#14188,#14189,#14190)); +#14192=FACE_OUTER_BOUND('',#14191,.F.); +#14193=ADVANCED_FACE('',(#14192),#14186,.F.); +#14194=CARTESIAN_POINT('',(-2.921E1,1.8225E0,-1.9E-1)); +#14195=AXIS2_PLACEMENT_3D('',#14194,#12442,#76); +#14196=PLANE('',#14195); +#14197=ORIENTED_EDGE('',*,*,#17739,.F.); +#14198=ORIENTED_EDGE('',*,*,#19704,.F.); +#14199=ORIENTED_EDGE('',*,*,#19705,.T.); +#14200=ORIENTED_EDGE('',*,*,#19701,.T.); +#14201=EDGE_LOOP('',(#14197,#14198,#14199,#14200)); +#14202=FACE_OUTER_BOUND('',#14201,.F.); +#14203=ADVANCED_FACE('',(#14202),#14196,.F.); +#14204=CARTESIAN_POINT('',(-2.8655E1,1.27E0,-1.9E-1)); +#14205=AXIS2_PLACEMENT_3D('',#14204,#12453,#80); +#14206=PLANE('',#14205); +#14207=ORIENTED_EDGE('',*,*,#17738,.F.); +#14208=ORIENTED_EDGE('',*,*,#19706,.F.); +#14209=ORIENTED_EDGE('',*,*,#19707,.T.); +#14210=ORIENTED_EDGE('',*,*,#19704,.T.); +#14211=EDGE_LOOP('',(#14207,#14208,#14209,#14210)); +#14212=FACE_OUTER_BOUND('',#14211,.F.); +#14213=ADVANCED_FACE('',(#14212),#14206,.F.); +#14214=CARTESIAN_POINT('',(-2.921E1,7.175E-1,-1.9E-1)); +#14215=AXIS2_PLACEMENT_3D('',#14214,#12464,#84); +#14216=PLANE('',#14215); +#14217=ORIENTED_EDGE('',*,*,#17737,.F.); +#14218=ORIENTED_EDGE('',*,*,#19703,.F.); +#14219=ORIENTED_EDGE('',*,*,#19708,.T.); +#14220=ORIENTED_EDGE('',*,*,#19706,.T.); +#14221=EDGE_LOOP('',(#14217,#14218,#14219,#14220)); +#14222=FACE_OUTER_BOUND('',#14221,.F.); +#14223=ADVANCED_FACE('',(#14222),#14216,.F.); +#14224=CARTESIAN_POINT('',(1.016E1,-2.54E0,-3.8E-1)); +#14225=AXIS2_PLACEMENT_3D('',#14224,#2100,#84); +#14226=PLANE('',#14225); +#14227=ORIENTED_EDGE('',*,*,#19702,.F.); +#14228=ORIENTED_EDGE('',*,*,#19705,.F.); +#14229=ORIENTED_EDGE('',*,*,#19707,.F.); +#14230=ORIENTED_EDGE('',*,*,#19708,.F.); +#14231=EDGE_LOOP('',(#14227,#14228,#14229,#14230)); +#14232=FACE_OUTER_BOUND('',#14231,.F.); +#14233=ADVANCED_FACE('',(#14232),#14226,.T.); +#14234=CARTESIAN_POINT('',(-2.7225E1,1.27E0,-1.9E-1)); +#14235=AXIS2_PLACEMENT_3D('',#14234,#12431,#72); +#14236=PLANE('',#14235); +#14237=ORIENTED_EDGE('',*,*,#17740,.F.); +#14238=ORIENTED_EDGE('',*,*,#19709,.F.); +#14239=ORIENTED_EDGE('',*,*,#19710,.T.); +#14240=ORIENTED_EDGE('',*,*,#19711,.T.); +#14241=EDGE_LOOP('',(#14237,#14238,#14239,#14240)); +#14242=FACE_OUTER_BOUND('',#14241,.F.); +#14243=ADVANCED_FACE('',(#14242),#14236,.F.); +#14244=CARTESIAN_POINT('',(-2.667E1,1.8225E0,-1.9E-1)); +#14245=AXIS2_PLACEMENT_3D('',#14244,#12442,#76); +#14246=PLANE('',#14245); +#14247=ORIENTED_EDGE('',*,*,#17743,.F.); +#14248=ORIENTED_EDGE('',*,*,#19712,.F.); +#14249=ORIENTED_EDGE('',*,*,#19713,.T.); +#14250=ORIENTED_EDGE('',*,*,#19709,.T.); +#14251=EDGE_LOOP('',(#14247,#14248,#14249,#14250)); +#14252=FACE_OUTER_BOUND('',#14251,.F.); +#14253=ADVANCED_FACE('',(#14252),#14246,.F.); +#14254=CARTESIAN_POINT('',(-2.6115E1,1.27E0,-1.9E-1)); +#14255=AXIS2_PLACEMENT_3D('',#14254,#12453,#80); +#14256=PLANE('',#14255); +#14257=ORIENTED_EDGE('',*,*,#17742,.F.); +#14258=ORIENTED_EDGE('',*,*,#19714,.F.); +#14259=ORIENTED_EDGE('',*,*,#19715,.T.); +#14260=ORIENTED_EDGE('',*,*,#19712,.T.); +#14261=EDGE_LOOP('',(#14257,#14258,#14259,#14260)); +#14262=FACE_OUTER_BOUND('',#14261,.F.); +#14263=ADVANCED_FACE('',(#14262),#14256,.F.); +#14264=CARTESIAN_POINT('',(-2.667E1,7.175E-1,-1.9E-1)); +#14265=AXIS2_PLACEMENT_3D('',#14264,#12464,#84); +#14266=PLANE('',#14265); +#14267=ORIENTED_EDGE('',*,*,#17741,.F.); +#14268=ORIENTED_EDGE('',*,*,#19711,.F.); +#14269=ORIENTED_EDGE('',*,*,#19716,.T.); +#14270=ORIENTED_EDGE('',*,*,#19714,.T.); +#14271=EDGE_LOOP('',(#14267,#14268,#14269,#14270)); +#14272=FACE_OUTER_BOUND('',#14271,.F.); +#14273=ADVANCED_FACE('',(#14272),#14266,.F.); +#14274=CARTESIAN_POINT('',(1.27E1,-2.54E0,-3.8E-1)); +#14275=AXIS2_PLACEMENT_3D('',#14274,#2100,#84); +#14276=PLANE('',#14275); +#14277=ORIENTED_EDGE('',*,*,#19710,.F.); +#14278=ORIENTED_EDGE('',*,*,#19713,.F.); +#14279=ORIENTED_EDGE('',*,*,#19715,.F.); +#14280=ORIENTED_EDGE('',*,*,#19716,.F.); +#14281=EDGE_LOOP('',(#14277,#14278,#14279,#14280)); +#14282=FACE_OUTER_BOUND('',#14281,.F.); +#14283=ADVANCED_FACE('',(#14282),#14276,.T.); +#14284=CARTESIAN_POINT('',(-2.4685E1,1.27E0,-1.9E-1)); +#14285=AXIS2_PLACEMENT_3D('',#14284,#12431,#72); +#14286=PLANE('',#14285); +#14287=ORIENTED_EDGE('',*,*,#17744,.F.); +#14288=ORIENTED_EDGE('',*,*,#19717,.F.); +#14289=ORIENTED_EDGE('',*,*,#19718,.T.); +#14290=ORIENTED_EDGE('',*,*,#19719,.T.); +#14291=EDGE_LOOP('',(#14287,#14288,#14289,#14290)); +#14292=FACE_OUTER_BOUND('',#14291,.F.); +#14293=ADVANCED_FACE('',(#14292),#14286,.F.); +#14294=CARTESIAN_POINT('',(-2.413E1,1.8225E0,-1.9E-1)); +#14295=AXIS2_PLACEMENT_3D('',#14294,#12442,#76); +#14296=PLANE('',#14295); +#14297=ORIENTED_EDGE('',*,*,#17747,.F.); +#14298=ORIENTED_EDGE('',*,*,#19720,.F.); +#14299=ORIENTED_EDGE('',*,*,#19721,.T.); +#14300=ORIENTED_EDGE('',*,*,#19717,.T.); +#14301=EDGE_LOOP('',(#14297,#14298,#14299,#14300)); +#14302=FACE_OUTER_BOUND('',#14301,.F.); +#14303=ADVANCED_FACE('',(#14302),#14296,.F.); +#14304=CARTESIAN_POINT('',(-2.3575E1,1.27E0,-1.9E-1)); +#14305=AXIS2_PLACEMENT_3D('',#14304,#12453,#80); +#14306=PLANE('',#14305); +#14307=ORIENTED_EDGE('',*,*,#17746,.F.); +#14308=ORIENTED_EDGE('',*,*,#19722,.F.); +#14309=ORIENTED_EDGE('',*,*,#19723,.T.); +#14310=ORIENTED_EDGE('',*,*,#19720,.T.); +#14311=EDGE_LOOP('',(#14307,#14308,#14309,#14310)); +#14312=FACE_OUTER_BOUND('',#14311,.F.); +#14313=ADVANCED_FACE('',(#14312),#14306,.F.); +#14314=CARTESIAN_POINT('',(-2.413E1,7.175E-1,-1.9E-1)); +#14315=AXIS2_PLACEMENT_3D('',#14314,#12464,#84); +#14316=PLANE('',#14315); +#14317=ORIENTED_EDGE('',*,*,#17745,.F.); +#14318=ORIENTED_EDGE('',*,*,#19719,.F.); +#14319=ORIENTED_EDGE('',*,*,#19724,.T.); +#14320=ORIENTED_EDGE('',*,*,#19722,.T.); +#14321=EDGE_LOOP('',(#14317,#14318,#14319,#14320)); +#14322=FACE_OUTER_BOUND('',#14321,.F.); +#14323=ADVANCED_FACE('',(#14322),#14316,.F.); +#14324=CARTESIAN_POINT('',(1.524E1,-2.54E0,-3.8E-1)); +#14325=AXIS2_PLACEMENT_3D('',#14324,#2100,#84); +#14326=PLANE('',#14325); +#14327=ORIENTED_EDGE('',*,*,#19718,.F.); +#14328=ORIENTED_EDGE('',*,*,#19721,.F.); +#14329=ORIENTED_EDGE('',*,*,#19723,.F.); +#14330=ORIENTED_EDGE('',*,*,#19724,.F.); +#14331=EDGE_LOOP('',(#14327,#14328,#14329,#14330)); +#14332=FACE_OUTER_BOUND('',#14331,.F.); +#14333=ADVANCED_FACE('',(#14332),#14326,.T.); +#14334=CARTESIAN_POINT('',(-2.2145E1,1.27E0,-1.9E-1)); +#14335=AXIS2_PLACEMENT_3D('',#14334,#12431,#72); +#14336=PLANE('',#14335); +#14337=ORIENTED_EDGE('',*,*,#17748,.F.); +#14338=ORIENTED_EDGE('',*,*,#19725,.F.); +#14339=ORIENTED_EDGE('',*,*,#19726,.T.); +#14340=ORIENTED_EDGE('',*,*,#19727,.T.); +#14341=EDGE_LOOP('',(#14337,#14338,#14339,#14340)); +#14342=FACE_OUTER_BOUND('',#14341,.F.); +#14343=ADVANCED_FACE('',(#14342),#14336,.F.); +#14344=CARTESIAN_POINT('',(-2.159E1,1.8225E0,-1.9E-1)); +#14345=AXIS2_PLACEMENT_3D('',#14344,#12442,#76); +#14346=PLANE('',#14345); +#14347=ORIENTED_EDGE('',*,*,#17751,.F.); +#14348=ORIENTED_EDGE('',*,*,#19728,.F.); +#14349=ORIENTED_EDGE('',*,*,#19729,.T.); +#14350=ORIENTED_EDGE('',*,*,#19725,.T.); +#14351=EDGE_LOOP('',(#14347,#14348,#14349,#14350)); +#14352=FACE_OUTER_BOUND('',#14351,.F.); +#14353=ADVANCED_FACE('',(#14352),#14346,.F.); +#14354=CARTESIAN_POINT('',(-2.1035E1,1.27E0,-1.9E-1)); +#14355=AXIS2_PLACEMENT_3D('',#14354,#12453,#80); +#14356=PLANE('',#14355); +#14357=ORIENTED_EDGE('',*,*,#17750,.F.); +#14358=ORIENTED_EDGE('',*,*,#19730,.F.); +#14359=ORIENTED_EDGE('',*,*,#19731,.T.); +#14360=ORIENTED_EDGE('',*,*,#19728,.T.); +#14361=EDGE_LOOP('',(#14357,#14358,#14359,#14360)); +#14362=FACE_OUTER_BOUND('',#14361,.F.); +#14363=ADVANCED_FACE('',(#14362),#14356,.F.); +#14364=CARTESIAN_POINT('',(-2.159E1,7.175E-1,-1.9E-1)); +#14365=AXIS2_PLACEMENT_3D('',#14364,#12464,#84); +#14366=PLANE('',#14365); +#14367=ORIENTED_EDGE('',*,*,#17749,.F.); +#14368=ORIENTED_EDGE('',*,*,#19727,.F.); +#14369=ORIENTED_EDGE('',*,*,#19732,.T.); +#14370=ORIENTED_EDGE('',*,*,#19730,.T.); +#14371=EDGE_LOOP('',(#14367,#14368,#14369,#14370)); +#14372=FACE_OUTER_BOUND('',#14371,.F.); +#14373=ADVANCED_FACE('',(#14372),#14366,.F.); +#14374=CARTESIAN_POINT('',(1.778E1,-2.54E0,-3.8E-1)); +#14375=AXIS2_PLACEMENT_3D('',#14374,#2100,#84); +#14376=PLANE('',#14375); +#14377=ORIENTED_EDGE('',*,*,#19726,.F.); +#14378=ORIENTED_EDGE('',*,*,#19729,.F.); +#14379=ORIENTED_EDGE('',*,*,#19731,.F.); +#14380=ORIENTED_EDGE('',*,*,#19732,.F.); +#14381=EDGE_LOOP('',(#14377,#14378,#14379,#14380)); +#14382=FACE_OUTER_BOUND('',#14381,.F.); +#14383=ADVANCED_FACE('',(#14382),#14376,.T.); +#14384=CARTESIAN_POINT('',(-1.9605E1,1.27E0,-1.9E-1)); +#14385=AXIS2_PLACEMENT_3D('',#14384,#12431,#72); +#14386=PLANE('',#14385); +#14387=ORIENTED_EDGE('',*,*,#17752,.F.); +#14388=ORIENTED_EDGE('',*,*,#19733,.F.); +#14389=ORIENTED_EDGE('',*,*,#19734,.T.); +#14390=ORIENTED_EDGE('',*,*,#19735,.T.); +#14391=EDGE_LOOP('',(#14387,#14388,#14389,#14390)); +#14392=FACE_OUTER_BOUND('',#14391,.F.); +#14393=ADVANCED_FACE('',(#14392),#14386,.F.); +#14394=CARTESIAN_POINT('',(-1.905E1,1.8225E0,-1.9E-1)); +#14395=AXIS2_PLACEMENT_3D('',#14394,#12442,#76); +#14396=PLANE('',#14395); +#14397=ORIENTED_EDGE('',*,*,#17755,.F.); +#14398=ORIENTED_EDGE('',*,*,#19736,.F.); +#14399=ORIENTED_EDGE('',*,*,#19737,.T.); +#14400=ORIENTED_EDGE('',*,*,#19733,.T.); +#14401=EDGE_LOOP('',(#14397,#14398,#14399,#14400)); +#14402=FACE_OUTER_BOUND('',#14401,.F.); +#14403=ADVANCED_FACE('',(#14402),#14396,.F.); +#14404=CARTESIAN_POINT('',(-1.8495E1,1.27E0,-1.9E-1)); +#14405=AXIS2_PLACEMENT_3D('',#14404,#12453,#80); +#14406=PLANE('',#14405); +#14407=ORIENTED_EDGE('',*,*,#17754,.F.); +#14408=ORIENTED_EDGE('',*,*,#19738,.F.); +#14409=ORIENTED_EDGE('',*,*,#19739,.T.); +#14410=ORIENTED_EDGE('',*,*,#19736,.T.); +#14411=EDGE_LOOP('',(#14407,#14408,#14409,#14410)); +#14412=FACE_OUTER_BOUND('',#14411,.F.); +#14413=ADVANCED_FACE('',(#14412),#14406,.F.); +#14414=CARTESIAN_POINT('',(-1.905E1,7.175E-1,-1.9E-1)); +#14415=AXIS2_PLACEMENT_3D('',#14414,#12464,#84); +#14416=PLANE('',#14415); +#14417=ORIENTED_EDGE('',*,*,#17753,.F.); +#14418=ORIENTED_EDGE('',*,*,#19735,.F.); +#14419=ORIENTED_EDGE('',*,*,#19740,.T.); +#14420=ORIENTED_EDGE('',*,*,#19738,.T.); +#14421=EDGE_LOOP('',(#14417,#14418,#14419,#14420)); +#14422=FACE_OUTER_BOUND('',#14421,.F.); +#14423=ADVANCED_FACE('',(#14422),#14416,.F.); +#14424=CARTESIAN_POINT('',(2.032E1,-2.54E0,-3.8E-1)); +#14425=AXIS2_PLACEMENT_3D('',#14424,#2100,#84); +#14426=PLANE('',#14425); +#14427=ORIENTED_EDGE('',*,*,#19734,.F.); +#14428=ORIENTED_EDGE('',*,*,#19737,.F.); +#14429=ORIENTED_EDGE('',*,*,#19739,.F.); +#14430=ORIENTED_EDGE('',*,*,#19740,.F.); +#14431=EDGE_LOOP('',(#14427,#14428,#14429,#14430)); +#14432=FACE_OUTER_BOUND('',#14431,.F.); +#14433=ADVANCED_FACE('',(#14432),#14426,.T.); +#14434=CARTESIAN_POINT('',(-1.7065E1,1.27E0,-1.9E-1)); +#14435=AXIS2_PLACEMENT_3D('',#14434,#12431,#72); +#14436=PLANE('',#14435); +#14437=ORIENTED_EDGE('',*,*,#17756,.F.); +#14438=ORIENTED_EDGE('',*,*,#19741,.F.); +#14439=ORIENTED_EDGE('',*,*,#19742,.T.); +#14440=ORIENTED_EDGE('',*,*,#19743,.T.); +#14441=EDGE_LOOP('',(#14437,#14438,#14439,#14440)); +#14442=FACE_OUTER_BOUND('',#14441,.F.); +#14443=ADVANCED_FACE('',(#14442),#14436,.F.); +#14444=CARTESIAN_POINT('',(-1.651E1,1.8225E0,-1.9E-1)); +#14445=AXIS2_PLACEMENT_3D('',#14444,#12442,#76); +#14446=PLANE('',#14445); +#14447=ORIENTED_EDGE('',*,*,#17759,.F.); +#14448=ORIENTED_EDGE('',*,*,#19744,.F.); +#14449=ORIENTED_EDGE('',*,*,#19745,.T.); +#14450=ORIENTED_EDGE('',*,*,#19741,.T.); +#14451=EDGE_LOOP('',(#14447,#14448,#14449,#14450)); +#14452=FACE_OUTER_BOUND('',#14451,.F.); +#14453=ADVANCED_FACE('',(#14452),#14446,.F.); +#14454=CARTESIAN_POINT('',(-1.5955E1,1.27E0,-1.9E-1)); +#14455=AXIS2_PLACEMENT_3D('',#14454,#12453,#80); +#14456=PLANE('',#14455); +#14457=ORIENTED_EDGE('',*,*,#17758,.F.); +#14458=ORIENTED_EDGE('',*,*,#19746,.F.); +#14459=ORIENTED_EDGE('',*,*,#19747,.T.); +#14460=ORIENTED_EDGE('',*,*,#19744,.T.); +#14461=EDGE_LOOP('',(#14457,#14458,#14459,#14460)); +#14462=FACE_OUTER_BOUND('',#14461,.F.); +#14463=ADVANCED_FACE('',(#14462),#14456,.F.); +#14464=CARTESIAN_POINT('',(-1.651E1,7.175E-1,-1.9E-1)); +#14465=AXIS2_PLACEMENT_3D('',#14464,#12464,#84); +#14466=PLANE('',#14465); +#14467=ORIENTED_EDGE('',*,*,#17757,.F.); +#14468=ORIENTED_EDGE('',*,*,#19743,.F.); +#14469=ORIENTED_EDGE('',*,*,#19748,.T.); +#14470=ORIENTED_EDGE('',*,*,#19746,.T.); +#14471=EDGE_LOOP('',(#14467,#14468,#14469,#14470)); +#14472=FACE_OUTER_BOUND('',#14471,.F.); +#14473=ADVANCED_FACE('',(#14472),#14466,.F.); +#14474=CARTESIAN_POINT('',(2.286E1,-2.54E0,-3.8E-1)); +#14475=AXIS2_PLACEMENT_3D('',#14474,#2100,#84); +#14476=PLANE('',#14475); +#14477=ORIENTED_EDGE('',*,*,#19742,.F.); +#14478=ORIENTED_EDGE('',*,*,#19745,.F.); +#14479=ORIENTED_EDGE('',*,*,#19747,.F.); +#14480=ORIENTED_EDGE('',*,*,#19748,.F.); +#14481=EDGE_LOOP('',(#14477,#14478,#14479,#14480)); +#14482=FACE_OUTER_BOUND('',#14481,.F.); +#14483=ADVANCED_FACE('',(#14482),#14476,.T.); +#14484=CARTESIAN_POINT('',(-1.4525E1,1.27E0,-1.9E-1)); +#14485=AXIS2_PLACEMENT_3D('',#14484,#12431,#72); +#14486=PLANE('',#14485); +#14487=ORIENTED_EDGE('',*,*,#17760,.F.); +#14488=ORIENTED_EDGE('',*,*,#19749,.F.); +#14489=ORIENTED_EDGE('',*,*,#19750,.T.); +#14490=ORIENTED_EDGE('',*,*,#19751,.T.); +#14491=EDGE_LOOP('',(#14487,#14488,#14489,#14490)); +#14492=FACE_OUTER_BOUND('',#14491,.F.); +#14493=ADVANCED_FACE('',(#14492),#14486,.F.); +#14494=CARTESIAN_POINT('',(-1.397E1,1.8225E0,-1.9E-1)); +#14495=AXIS2_PLACEMENT_3D('',#14494,#12442,#76); +#14496=PLANE('',#14495); +#14497=ORIENTED_EDGE('',*,*,#17763,.F.); +#14498=ORIENTED_EDGE('',*,*,#19752,.F.); +#14499=ORIENTED_EDGE('',*,*,#19753,.T.); +#14500=ORIENTED_EDGE('',*,*,#19749,.T.); +#14501=EDGE_LOOP('',(#14497,#14498,#14499,#14500)); +#14502=FACE_OUTER_BOUND('',#14501,.F.); +#14503=ADVANCED_FACE('',(#14502),#14496,.F.); +#14504=CARTESIAN_POINT('',(-1.3415E1,1.27E0,-1.9E-1)); +#14505=AXIS2_PLACEMENT_3D('',#14504,#12453,#80); +#14506=PLANE('',#14505); +#14507=ORIENTED_EDGE('',*,*,#17762,.F.); +#14508=ORIENTED_EDGE('',*,*,#19754,.F.); +#14509=ORIENTED_EDGE('',*,*,#19755,.T.); +#14510=ORIENTED_EDGE('',*,*,#19752,.T.); +#14511=EDGE_LOOP('',(#14507,#14508,#14509,#14510)); +#14512=FACE_OUTER_BOUND('',#14511,.F.); +#14513=ADVANCED_FACE('',(#14512),#14506,.F.); +#14514=CARTESIAN_POINT('',(-1.397E1,7.175E-1,-1.9E-1)); +#14515=AXIS2_PLACEMENT_3D('',#14514,#12464,#84); +#14516=PLANE('',#14515); +#14517=ORIENTED_EDGE('',*,*,#17761,.F.); +#14518=ORIENTED_EDGE('',*,*,#19751,.F.); +#14519=ORIENTED_EDGE('',*,*,#19756,.T.); +#14520=ORIENTED_EDGE('',*,*,#19754,.T.); +#14521=EDGE_LOOP('',(#14517,#14518,#14519,#14520)); +#14522=FACE_OUTER_BOUND('',#14521,.F.); +#14523=ADVANCED_FACE('',(#14522),#14516,.F.); +#14524=CARTESIAN_POINT('',(2.54E1,-2.54E0,-3.8E-1)); +#14525=AXIS2_PLACEMENT_3D('',#14524,#2100,#84); +#14526=PLANE('',#14525); +#14527=ORIENTED_EDGE('',*,*,#19750,.F.); +#14528=ORIENTED_EDGE('',*,*,#19753,.F.); +#14529=ORIENTED_EDGE('',*,*,#19755,.F.); +#14530=ORIENTED_EDGE('',*,*,#19756,.F.); +#14531=EDGE_LOOP('',(#14527,#14528,#14529,#14530)); +#14532=FACE_OUTER_BOUND('',#14531,.F.); +#14533=ADVANCED_FACE('',(#14532),#14526,.T.); +#14534=CARTESIAN_POINT('',(-1.1985E1,1.27E0,-1.9E-1)); +#14535=AXIS2_PLACEMENT_3D('',#14534,#12431,#72); +#14536=PLANE('',#14535); +#14537=ORIENTED_EDGE('',*,*,#17764,.F.); +#14538=ORIENTED_EDGE('',*,*,#19757,.F.); +#14539=ORIENTED_EDGE('',*,*,#19758,.T.); +#14540=ORIENTED_EDGE('',*,*,#19759,.T.); +#14541=EDGE_LOOP('',(#14537,#14538,#14539,#14540)); +#14542=FACE_OUTER_BOUND('',#14541,.F.); +#14543=ADVANCED_FACE('',(#14542),#14536,.F.); +#14544=CARTESIAN_POINT('',(-1.143E1,1.8225E0,-1.9E-1)); +#14545=AXIS2_PLACEMENT_3D('',#14544,#12442,#76); +#14546=PLANE('',#14545); +#14547=ORIENTED_EDGE('',*,*,#17767,.F.); +#14548=ORIENTED_EDGE('',*,*,#19760,.F.); +#14549=ORIENTED_EDGE('',*,*,#19761,.T.); +#14550=ORIENTED_EDGE('',*,*,#19757,.T.); +#14551=EDGE_LOOP('',(#14547,#14548,#14549,#14550)); +#14552=FACE_OUTER_BOUND('',#14551,.F.); +#14553=ADVANCED_FACE('',(#14552),#14546,.F.); +#14554=CARTESIAN_POINT('',(-1.0875E1,1.27E0,-1.9E-1)); +#14555=AXIS2_PLACEMENT_3D('',#14554,#12453,#80); +#14556=PLANE('',#14555); +#14557=ORIENTED_EDGE('',*,*,#17766,.F.); +#14558=ORIENTED_EDGE('',*,*,#19762,.F.); +#14559=ORIENTED_EDGE('',*,*,#19763,.T.); +#14560=ORIENTED_EDGE('',*,*,#19760,.T.); +#14561=EDGE_LOOP('',(#14557,#14558,#14559,#14560)); +#14562=FACE_OUTER_BOUND('',#14561,.F.); +#14563=ADVANCED_FACE('',(#14562),#14556,.F.); +#14564=CARTESIAN_POINT('',(-1.143E1,7.175E-1,-1.9E-1)); +#14565=AXIS2_PLACEMENT_3D('',#14564,#12464,#84); +#14566=PLANE('',#14565); +#14567=ORIENTED_EDGE('',*,*,#17765,.F.); +#14568=ORIENTED_EDGE('',*,*,#19759,.F.); +#14569=ORIENTED_EDGE('',*,*,#19764,.T.); +#14570=ORIENTED_EDGE('',*,*,#19762,.T.); +#14571=EDGE_LOOP('',(#14567,#14568,#14569,#14570)); +#14572=FACE_OUTER_BOUND('',#14571,.F.); +#14573=ADVANCED_FACE('',(#14572),#14566,.F.); +#14574=CARTESIAN_POINT('',(2.794E1,-2.54E0,-3.8E-1)); +#14575=AXIS2_PLACEMENT_3D('',#14574,#2100,#84); +#14576=PLANE('',#14575); +#14577=ORIENTED_EDGE('',*,*,#19758,.F.); +#14578=ORIENTED_EDGE('',*,*,#19761,.F.); +#14579=ORIENTED_EDGE('',*,*,#19763,.F.); +#14580=ORIENTED_EDGE('',*,*,#19764,.F.); +#14581=EDGE_LOOP('',(#14577,#14578,#14579,#14580)); +#14582=FACE_OUTER_BOUND('',#14581,.F.); +#14583=ADVANCED_FACE('',(#14582),#14576,.T.); +#14584=CARTESIAN_POINT('',(-9.445E0,1.27E0,-1.9E-1)); +#14585=AXIS2_PLACEMENT_3D('',#14584,#12431,#72); +#14586=PLANE('',#14585); +#14587=ORIENTED_EDGE('',*,*,#17768,.F.); +#14588=ORIENTED_EDGE('',*,*,#19765,.F.); +#14589=ORIENTED_EDGE('',*,*,#19766,.T.); +#14590=ORIENTED_EDGE('',*,*,#19767,.T.); +#14591=EDGE_LOOP('',(#14587,#14588,#14589,#14590)); +#14592=FACE_OUTER_BOUND('',#14591,.F.); +#14593=ADVANCED_FACE('',(#14592),#14586,.F.); +#14594=CARTESIAN_POINT('',(-8.89E0,1.8225E0,-1.9E-1)); +#14595=AXIS2_PLACEMENT_3D('',#14594,#12442,#76); +#14596=PLANE('',#14595); +#14597=ORIENTED_EDGE('',*,*,#17771,.F.); +#14598=ORIENTED_EDGE('',*,*,#19768,.F.); +#14599=ORIENTED_EDGE('',*,*,#19769,.T.); +#14600=ORIENTED_EDGE('',*,*,#19765,.T.); +#14601=EDGE_LOOP('',(#14597,#14598,#14599,#14600)); +#14602=FACE_OUTER_BOUND('',#14601,.F.); +#14603=ADVANCED_FACE('',(#14602),#14596,.F.); +#14604=CARTESIAN_POINT('',(-8.335E0,1.27E0,-1.9E-1)); +#14605=AXIS2_PLACEMENT_3D('',#14604,#12453,#80); +#14606=PLANE('',#14605); +#14607=ORIENTED_EDGE('',*,*,#17770,.F.); +#14608=ORIENTED_EDGE('',*,*,#19770,.F.); +#14609=ORIENTED_EDGE('',*,*,#19771,.T.); +#14610=ORIENTED_EDGE('',*,*,#19768,.T.); +#14611=EDGE_LOOP('',(#14607,#14608,#14609,#14610)); +#14612=FACE_OUTER_BOUND('',#14611,.F.); +#14613=ADVANCED_FACE('',(#14612),#14606,.F.); +#14614=CARTESIAN_POINT('',(-8.89E0,7.175E-1,-1.9E-1)); +#14615=AXIS2_PLACEMENT_3D('',#14614,#12464,#84); +#14616=PLANE('',#14615); +#14617=ORIENTED_EDGE('',*,*,#17769,.F.); +#14618=ORIENTED_EDGE('',*,*,#19767,.F.); +#14619=ORIENTED_EDGE('',*,*,#19772,.T.); +#14620=ORIENTED_EDGE('',*,*,#19770,.T.); +#14621=EDGE_LOOP('',(#14617,#14618,#14619,#14620)); +#14622=FACE_OUTER_BOUND('',#14621,.F.); +#14623=ADVANCED_FACE('',(#14622),#14616,.F.); +#14624=CARTESIAN_POINT('',(3.048E1,-2.54E0,-3.8E-1)); +#14625=AXIS2_PLACEMENT_3D('',#14624,#2100,#84); +#14626=PLANE('',#14625); +#14627=ORIENTED_EDGE('',*,*,#19766,.F.); +#14628=ORIENTED_EDGE('',*,*,#19769,.F.); +#14629=ORIENTED_EDGE('',*,*,#19771,.F.); +#14630=ORIENTED_EDGE('',*,*,#19772,.F.); +#14631=EDGE_LOOP('',(#14627,#14628,#14629,#14630)); +#14632=FACE_OUTER_BOUND('',#14631,.F.); +#14633=ADVANCED_FACE('',(#14632),#14626,.T.); +#14634=CARTESIAN_POINT('',(-6.905E0,1.27E0,-1.9E-1)); +#14635=AXIS2_PLACEMENT_3D('',#14634,#12431,#72); +#14636=PLANE('',#14635); +#14637=ORIENTED_EDGE('',*,*,#17772,.F.); +#14638=ORIENTED_EDGE('',*,*,#19773,.F.); +#14639=ORIENTED_EDGE('',*,*,#19774,.T.); +#14640=ORIENTED_EDGE('',*,*,#19775,.T.); +#14641=EDGE_LOOP('',(#14637,#14638,#14639,#14640)); +#14642=FACE_OUTER_BOUND('',#14641,.F.); +#14643=ADVANCED_FACE('',(#14642),#14636,.F.); +#14644=CARTESIAN_POINT('',(-6.35E0,1.8225E0,-1.9E-1)); +#14645=AXIS2_PLACEMENT_3D('',#14644,#12442,#76); +#14646=PLANE('',#14645); +#14647=ORIENTED_EDGE('',*,*,#17775,.F.); +#14648=ORIENTED_EDGE('',*,*,#19776,.F.); +#14649=ORIENTED_EDGE('',*,*,#19777,.T.); +#14650=ORIENTED_EDGE('',*,*,#19773,.T.); +#14651=EDGE_LOOP('',(#14647,#14648,#14649,#14650)); +#14652=FACE_OUTER_BOUND('',#14651,.F.); +#14653=ADVANCED_FACE('',(#14652),#14646,.F.); +#14654=CARTESIAN_POINT('',(-5.795E0,1.27E0,-1.9E-1)); +#14655=AXIS2_PLACEMENT_3D('',#14654,#12453,#80); +#14656=PLANE('',#14655); +#14657=ORIENTED_EDGE('',*,*,#17774,.F.); +#14658=ORIENTED_EDGE('',*,*,#19778,.F.); +#14659=ORIENTED_EDGE('',*,*,#19779,.T.); +#14660=ORIENTED_EDGE('',*,*,#19776,.T.); +#14661=EDGE_LOOP('',(#14657,#14658,#14659,#14660)); +#14662=FACE_OUTER_BOUND('',#14661,.F.); +#14663=ADVANCED_FACE('',(#14662),#14656,.F.); +#14664=CARTESIAN_POINT('',(-6.35E0,7.175E-1,-1.9E-1)); +#14665=AXIS2_PLACEMENT_3D('',#14664,#12464,#84); +#14666=PLANE('',#14665); +#14667=ORIENTED_EDGE('',*,*,#17773,.F.); +#14668=ORIENTED_EDGE('',*,*,#19775,.F.); +#14669=ORIENTED_EDGE('',*,*,#19780,.T.); +#14670=ORIENTED_EDGE('',*,*,#19778,.T.); +#14671=EDGE_LOOP('',(#14667,#14668,#14669,#14670)); +#14672=FACE_OUTER_BOUND('',#14671,.F.); +#14673=ADVANCED_FACE('',(#14672),#14666,.F.); +#14674=CARTESIAN_POINT('',(3.302E1,-2.54E0,-3.8E-1)); +#14675=AXIS2_PLACEMENT_3D('',#14674,#2100,#84); +#14676=PLANE('',#14675); +#14677=ORIENTED_EDGE('',*,*,#19774,.F.); +#14678=ORIENTED_EDGE('',*,*,#19777,.F.); +#14679=ORIENTED_EDGE('',*,*,#19779,.F.); +#14680=ORIENTED_EDGE('',*,*,#19780,.F.); +#14681=EDGE_LOOP('',(#14677,#14678,#14679,#14680)); +#14682=FACE_OUTER_BOUND('',#14681,.F.); +#14683=ADVANCED_FACE('',(#14682),#14676,.T.); +#14684=CARTESIAN_POINT('',(-4.365E0,1.27E0,-1.9E-1)); +#14685=AXIS2_PLACEMENT_3D('',#14684,#12431,#72); +#14686=PLANE('',#14685); +#14687=ORIENTED_EDGE('',*,*,#17776,.F.); +#14688=ORIENTED_EDGE('',*,*,#19781,.F.); +#14689=ORIENTED_EDGE('',*,*,#19782,.T.); +#14690=ORIENTED_EDGE('',*,*,#19783,.T.); +#14691=EDGE_LOOP('',(#14687,#14688,#14689,#14690)); +#14692=FACE_OUTER_BOUND('',#14691,.F.); +#14693=ADVANCED_FACE('',(#14692),#14686,.F.); +#14694=CARTESIAN_POINT('',(-3.81E0,1.8225E0,-1.9E-1)); +#14695=AXIS2_PLACEMENT_3D('',#14694,#12442,#76); +#14696=PLANE('',#14695); +#14697=ORIENTED_EDGE('',*,*,#17779,.F.); +#14698=ORIENTED_EDGE('',*,*,#19784,.F.); +#14699=ORIENTED_EDGE('',*,*,#19785,.T.); +#14700=ORIENTED_EDGE('',*,*,#19781,.T.); +#14701=EDGE_LOOP('',(#14697,#14698,#14699,#14700)); +#14702=FACE_OUTER_BOUND('',#14701,.F.); +#14703=ADVANCED_FACE('',(#14702),#14696,.F.); +#14704=CARTESIAN_POINT('',(-3.255E0,1.27E0,-1.9E-1)); +#14705=AXIS2_PLACEMENT_3D('',#14704,#12453,#80); +#14706=PLANE('',#14705); +#14707=ORIENTED_EDGE('',*,*,#17778,.F.); +#14708=ORIENTED_EDGE('',*,*,#19786,.F.); +#14709=ORIENTED_EDGE('',*,*,#19787,.T.); +#14710=ORIENTED_EDGE('',*,*,#19784,.T.); +#14711=EDGE_LOOP('',(#14707,#14708,#14709,#14710)); +#14712=FACE_OUTER_BOUND('',#14711,.F.); +#14713=ADVANCED_FACE('',(#14712),#14706,.F.); +#14714=CARTESIAN_POINT('',(-3.81E0,7.175E-1,-1.9E-1)); +#14715=AXIS2_PLACEMENT_3D('',#14714,#12464,#84); +#14716=PLANE('',#14715); +#14717=ORIENTED_EDGE('',*,*,#17777,.F.); +#14718=ORIENTED_EDGE('',*,*,#19783,.F.); +#14719=ORIENTED_EDGE('',*,*,#19788,.T.); +#14720=ORIENTED_EDGE('',*,*,#19786,.T.); +#14721=EDGE_LOOP('',(#14717,#14718,#14719,#14720)); +#14722=FACE_OUTER_BOUND('',#14721,.F.); +#14723=ADVANCED_FACE('',(#14722),#14716,.F.); +#14724=CARTESIAN_POINT('',(3.556E1,-2.54E0,-3.8E-1)); +#14725=AXIS2_PLACEMENT_3D('',#14724,#2100,#84); +#14726=PLANE('',#14725); +#14727=ORIENTED_EDGE('',*,*,#19782,.F.); +#14728=ORIENTED_EDGE('',*,*,#19785,.F.); +#14729=ORIENTED_EDGE('',*,*,#19787,.F.); +#14730=ORIENTED_EDGE('',*,*,#19788,.F.); +#14731=EDGE_LOOP('',(#14727,#14728,#14729,#14730)); +#14732=FACE_OUTER_BOUND('',#14731,.F.); +#14733=ADVANCED_FACE('',(#14732),#14726,.T.); +#14734=CARTESIAN_POINT('',(-1.825E0,1.27E0,-1.9E-1)); +#14735=AXIS2_PLACEMENT_3D('',#14734,#12431,#72); +#14736=PLANE('',#14735); +#14737=ORIENTED_EDGE('',*,*,#17780,.F.); +#14738=ORIENTED_EDGE('',*,*,#19789,.F.); +#14739=ORIENTED_EDGE('',*,*,#19790,.T.); +#14740=ORIENTED_EDGE('',*,*,#19791,.T.); +#14741=EDGE_LOOP('',(#14737,#14738,#14739,#14740)); +#14742=FACE_OUTER_BOUND('',#14741,.F.); +#14743=ADVANCED_FACE('',(#14742),#14736,.F.); +#14744=CARTESIAN_POINT('',(-1.27E0,1.8225E0,-1.9E-1)); +#14745=AXIS2_PLACEMENT_3D('',#14744,#12442,#76); +#14746=PLANE('',#14745); +#14747=ORIENTED_EDGE('',*,*,#17783,.F.); +#14748=ORIENTED_EDGE('',*,*,#19792,.F.); +#14749=ORIENTED_EDGE('',*,*,#19793,.T.); +#14750=ORIENTED_EDGE('',*,*,#19789,.T.); +#14751=EDGE_LOOP('',(#14747,#14748,#14749,#14750)); +#14752=FACE_OUTER_BOUND('',#14751,.F.); +#14753=ADVANCED_FACE('',(#14752),#14746,.F.); +#14754=CARTESIAN_POINT('',(-7.15E-1,1.27E0,-1.9E-1)); +#14755=AXIS2_PLACEMENT_3D('',#14754,#12453,#80); +#14756=PLANE('',#14755); +#14757=ORIENTED_EDGE('',*,*,#17782,.F.); +#14758=ORIENTED_EDGE('',*,*,#19794,.F.); +#14759=ORIENTED_EDGE('',*,*,#19795,.T.); +#14760=ORIENTED_EDGE('',*,*,#19792,.T.); +#14761=EDGE_LOOP('',(#14757,#14758,#14759,#14760)); +#14762=FACE_OUTER_BOUND('',#14761,.F.); +#14763=ADVANCED_FACE('',(#14762),#14756,.F.); +#14764=CARTESIAN_POINT('',(-1.27E0,7.175E-1,-1.9E-1)); +#14765=AXIS2_PLACEMENT_3D('',#14764,#12464,#84); +#14766=PLANE('',#14765); +#14767=ORIENTED_EDGE('',*,*,#17781,.F.); +#14768=ORIENTED_EDGE('',*,*,#19791,.F.); +#14769=ORIENTED_EDGE('',*,*,#19796,.T.); +#14770=ORIENTED_EDGE('',*,*,#19794,.T.); +#14771=EDGE_LOOP('',(#14767,#14768,#14769,#14770)); +#14772=FACE_OUTER_BOUND('',#14771,.F.); +#14773=ADVANCED_FACE('',(#14772),#14766,.F.); +#14774=CARTESIAN_POINT('',(3.81E1,-2.54E0,-3.8E-1)); +#14775=AXIS2_PLACEMENT_3D('',#14774,#2100,#84); +#14776=PLANE('',#14775); +#14777=ORIENTED_EDGE('',*,*,#19790,.F.); +#14778=ORIENTED_EDGE('',*,*,#19793,.F.); +#14779=ORIENTED_EDGE('',*,*,#19795,.F.); +#14780=ORIENTED_EDGE('',*,*,#19796,.F.); +#14781=EDGE_LOOP('',(#14777,#14778,#14779,#14780)); +#14782=FACE_OUTER_BOUND('',#14781,.F.); +#14783=ADVANCED_FACE('',(#14782),#14776,.T.); +#14784=CARTESIAN_POINT('',(7.15E-1,1.27E0,-1.9E-1)); +#14785=AXIS2_PLACEMENT_3D('',#14784,#12431,#72); +#14786=PLANE('',#14785); +#14787=ORIENTED_EDGE('',*,*,#17784,.F.); +#14788=ORIENTED_EDGE('',*,*,#19797,.F.); +#14789=ORIENTED_EDGE('',*,*,#19798,.T.); +#14790=ORIENTED_EDGE('',*,*,#19799,.T.); +#14791=EDGE_LOOP('',(#14787,#14788,#14789,#14790)); +#14792=FACE_OUTER_BOUND('',#14791,.F.); +#14793=ADVANCED_FACE('',(#14792),#14786,.F.); +#14794=CARTESIAN_POINT('',(1.27E0,1.8225E0,-1.9E-1)); +#14795=AXIS2_PLACEMENT_3D('',#14794,#12442,#76); +#14796=PLANE('',#14795); +#14797=ORIENTED_EDGE('',*,*,#17787,.F.); +#14798=ORIENTED_EDGE('',*,*,#19800,.F.); +#14799=ORIENTED_EDGE('',*,*,#19801,.T.); +#14800=ORIENTED_EDGE('',*,*,#19797,.T.); +#14801=EDGE_LOOP('',(#14797,#14798,#14799,#14800)); +#14802=FACE_OUTER_BOUND('',#14801,.F.); +#14803=ADVANCED_FACE('',(#14802),#14796,.F.); +#14804=CARTESIAN_POINT('',(1.825E0,1.27E0,-1.9E-1)); +#14805=AXIS2_PLACEMENT_3D('',#14804,#12453,#80); +#14806=PLANE('',#14805); +#14807=ORIENTED_EDGE('',*,*,#17786,.F.); +#14808=ORIENTED_EDGE('',*,*,#19802,.F.); +#14809=ORIENTED_EDGE('',*,*,#19803,.T.); +#14810=ORIENTED_EDGE('',*,*,#19800,.T.); +#14811=EDGE_LOOP('',(#14807,#14808,#14809,#14810)); +#14812=FACE_OUTER_BOUND('',#14811,.F.); +#14813=ADVANCED_FACE('',(#14812),#14806,.F.); +#14814=CARTESIAN_POINT('',(1.27E0,7.175E-1,-1.9E-1)); +#14815=AXIS2_PLACEMENT_3D('',#14814,#12464,#84); +#14816=PLANE('',#14815); +#14817=ORIENTED_EDGE('',*,*,#17785,.F.); +#14818=ORIENTED_EDGE('',*,*,#19799,.F.); +#14819=ORIENTED_EDGE('',*,*,#19804,.T.); +#14820=ORIENTED_EDGE('',*,*,#19802,.T.); +#14821=EDGE_LOOP('',(#14817,#14818,#14819,#14820)); +#14822=FACE_OUTER_BOUND('',#14821,.F.); +#14823=ADVANCED_FACE('',(#14822),#14816,.F.); +#14824=CARTESIAN_POINT('',(4.064E1,-2.54E0,-3.8E-1)); +#14825=AXIS2_PLACEMENT_3D('',#14824,#2100,#84); +#14826=PLANE('',#14825); +#14827=ORIENTED_EDGE('',*,*,#19798,.F.); +#14828=ORIENTED_EDGE('',*,*,#19801,.F.); +#14829=ORIENTED_EDGE('',*,*,#19803,.F.); +#14830=ORIENTED_EDGE('',*,*,#19804,.F.); +#14831=EDGE_LOOP('',(#14827,#14828,#14829,#14830)); +#14832=FACE_OUTER_BOUND('',#14831,.F.); +#14833=ADVANCED_FACE('',(#14832),#14826,.T.); +#14834=CARTESIAN_POINT('',(3.255E0,1.27E0,-1.9E-1)); +#14835=AXIS2_PLACEMENT_3D('',#14834,#12431,#72); +#14836=PLANE('',#14835); +#14837=ORIENTED_EDGE('',*,*,#17788,.F.); +#14838=ORIENTED_EDGE('',*,*,#19805,.F.); +#14839=ORIENTED_EDGE('',*,*,#19806,.T.); +#14840=ORIENTED_EDGE('',*,*,#19807,.T.); +#14841=EDGE_LOOP('',(#14837,#14838,#14839,#14840)); +#14842=FACE_OUTER_BOUND('',#14841,.F.); +#14843=ADVANCED_FACE('',(#14842),#14836,.F.); +#14844=CARTESIAN_POINT('',(3.81E0,1.8225E0,-1.9E-1)); +#14845=AXIS2_PLACEMENT_3D('',#14844,#12442,#76); +#14846=PLANE('',#14845); +#14847=ORIENTED_EDGE('',*,*,#17791,.F.); +#14848=ORIENTED_EDGE('',*,*,#19808,.F.); +#14849=ORIENTED_EDGE('',*,*,#19809,.T.); +#14850=ORIENTED_EDGE('',*,*,#19805,.T.); +#14851=EDGE_LOOP('',(#14847,#14848,#14849,#14850)); +#14852=FACE_OUTER_BOUND('',#14851,.F.); +#14853=ADVANCED_FACE('',(#14852),#14846,.F.); +#14854=CARTESIAN_POINT('',(4.365E0,1.27E0,-1.9E-1)); +#14855=AXIS2_PLACEMENT_3D('',#14854,#12453,#80); +#14856=PLANE('',#14855); +#14857=ORIENTED_EDGE('',*,*,#17790,.F.); +#14858=ORIENTED_EDGE('',*,*,#19810,.F.); +#14859=ORIENTED_EDGE('',*,*,#19811,.T.); +#14860=ORIENTED_EDGE('',*,*,#19808,.T.); +#14861=EDGE_LOOP('',(#14857,#14858,#14859,#14860)); +#14862=FACE_OUTER_BOUND('',#14861,.F.); +#14863=ADVANCED_FACE('',(#14862),#14856,.F.); +#14864=CARTESIAN_POINT('',(3.81E0,7.175E-1,-1.9E-1)); +#14865=AXIS2_PLACEMENT_3D('',#14864,#12464,#84); +#14866=PLANE('',#14865); +#14867=ORIENTED_EDGE('',*,*,#17789,.F.); +#14868=ORIENTED_EDGE('',*,*,#19807,.F.); +#14869=ORIENTED_EDGE('',*,*,#19812,.T.); +#14870=ORIENTED_EDGE('',*,*,#19810,.T.); +#14871=EDGE_LOOP('',(#14867,#14868,#14869,#14870)); +#14872=FACE_OUTER_BOUND('',#14871,.F.); +#14873=ADVANCED_FACE('',(#14872),#14866,.F.); +#14874=CARTESIAN_POINT('',(4.318E1,-2.54E0,-3.8E-1)); +#14875=AXIS2_PLACEMENT_3D('',#14874,#2100,#84); +#14876=PLANE('',#14875); +#14877=ORIENTED_EDGE('',*,*,#19806,.F.); +#14878=ORIENTED_EDGE('',*,*,#19809,.F.); +#14879=ORIENTED_EDGE('',*,*,#19811,.F.); +#14880=ORIENTED_EDGE('',*,*,#19812,.F.); +#14881=EDGE_LOOP('',(#14877,#14878,#14879,#14880)); +#14882=FACE_OUTER_BOUND('',#14881,.F.); +#14883=ADVANCED_FACE('',(#14882),#14876,.T.); +#14884=CARTESIAN_POINT('',(5.795E0,1.27E0,-1.9E-1)); +#14885=AXIS2_PLACEMENT_3D('',#14884,#12431,#72); +#14886=PLANE('',#14885); +#14887=ORIENTED_EDGE('',*,*,#17792,.F.); +#14888=ORIENTED_EDGE('',*,*,#19813,.F.); +#14889=ORIENTED_EDGE('',*,*,#19814,.T.); +#14890=ORIENTED_EDGE('',*,*,#19815,.T.); +#14891=EDGE_LOOP('',(#14887,#14888,#14889,#14890)); +#14892=FACE_OUTER_BOUND('',#14891,.F.); +#14893=ADVANCED_FACE('',(#14892),#14886,.F.); +#14894=CARTESIAN_POINT('',(6.35E0,1.8225E0,-1.9E-1)); +#14895=AXIS2_PLACEMENT_3D('',#14894,#12442,#76); +#14896=PLANE('',#14895); +#14897=ORIENTED_EDGE('',*,*,#17795,.F.); +#14898=ORIENTED_EDGE('',*,*,#19816,.F.); +#14899=ORIENTED_EDGE('',*,*,#19817,.T.); +#14900=ORIENTED_EDGE('',*,*,#19813,.T.); +#14901=EDGE_LOOP('',(#14897,#14898,#14899,#14900)); +#14902=FACE_OUTER_BOUND('',#14901,.F.); +#14903=ADVANCED_FACE('',(#14902),#14896,.F.); +#14904=CARTESIAN_POINT('',(6.905E0,1.27E0,-1.9E-1)); +#14905=AXIS2_PLACEMENT_3D('',#14904,#12453,#80); +#14906=PLANE('',#14905); +#14907=ORIENTED_EDGE('',*,*,#17794,.F.); +#14908=ORIENTED_EDGE('',*,*,#19818,.F.); +#14909=ORIENTED_EDGE('',*,*,#19819,.T.); +#14910=ORIENTED_EDGE('',*,*,#19816,.T.); +#14911=EDGE_LOOP('',(#14907,#14908,#14909,#14910)); +#14912=FACE_OUTER_BOUND('',#14911,.F.); +#14913=ADVANCED_FACE('',(#14912),#14906,.F.); +#14914=CARTESIAN_POINT('',(6.35E0,7.175E-1,-1.9E-1)); +#14915=AXIS2_PLACEMENT_3D('',#14914,#12464,#84); +#14916=PLANE('',#14915); +#14917=ORIENTED_EDGE('',*,*,#17793,.F.); +#14918=ORIENTED_EDGE('',*,*,#19815,.F.); +#14919=ORIENTED_EDGE('',*,*,#19820,.T.); +#14920=ORIENTED_EDGE('',*,*,#19818,.T.); +#14921=EDGE_LOOP('',(#14917,#14918,#14919,#14920)); +#14922=FACE_OUTER_BOUND('',#14921,.F.); +#14923=ADVANCED_FACE('',(#14922),#14916,.F.); +#14924=CARTESIAN_POINT('',(4.572E1,-2.54E0,-3.8E-1)); +#14925=AXIS2_PLACEMENT_3D('',#14924,#2100,#84); +#14926=PLANE('',#14925); +#14927=ORIENTED_EDGE('',*,*,#19814,.F.); +#14928=ORIENTED_EDGE('',*,*,#19817,.F.); +#14929=ORIENTED_EDGE('',*,*,#19819,.F.); +#14930=ORIENTED_EDGE('',*,*,#19820,.F.); +#14931=EDGE_LOOP('',(#14927,#14928,#14929,#14930)); +#14932=FACE_OUTER_BOUND('',#14931,.F.); +#14933=ADVANCED_FACE('',(#14932),#14926,.T.); +#14934=CARTESIAN_POINT('',(8.335E0,1.27E0,-1.9E-1)); +#14935=AXIS2_PLACEMENT_3D('',#14934,#12431,#72); +#14936=PLANE('',#14935); +#14937=ORIENTED_EDGE('',*,*,#17796,.F.); +#14938=ORIENTED_EDGE('',*,*,#19821,.F.); +#14939=ORIENTED_EDGE('',*,*,#19822,.T.); +#14940=ORIENTED_EDGE('',*,*,#19823,.T.); +#14941=EDGE_LOOP('',(#14937,#14938,#14939,#14940)); +#14942=FACE_OUTER_BOUND('',#14941,.F.); +#14943=ADVANCED_FACE('',(#14942),#14936,.F.); +#14944=CARTESIAN_POINT('',(8.89E0,1.8225E0,-1.9E-1)); +#14945=AXIS2_PLACEMENT_3D('',#14944,#12442,#76); +#14946=PLANE('',#14945); +#14947=ORIENTED_EDGE('',*,*,#17799,.F.); +#14948=ORIENTED_EDGE('',*,*,#19824,.F.); +#14949=ORIENTED_EDGE('',*,*,#19825,.T.); +#14950=ORIENTED_EDGE('',*,*,#19821,.T.); +#14951=EDGE_LOOP('',(#14947,#14948,#14949,#14950)); +#14952=FACE_OUTER_BOUND('',#14951,.F.); +#14953=ADVANCED_FACE('',(#14952),#14946,.F.); +#14954=CARTESIAN_POINT('',(9.445E0,1.27E0,-1.9E-1)); +#14955=AXIS2_PLACEMENT_3D('',#14954,#12453,#80); +#14956=PLANE('',#14955); +#14957=ORIENTED_EDGE('',*,*,#17798,.F.); +#14958=ORIENTED_EDGE('',*,*,#19826,.F.); +#14959=ORIENTED_EDGE('',*,*,#19827,.T.); +#14960=ORIENTED_EDGE('',*,*,#19824,.T.); +#14961=EDGE_LOOP('',(#14957,#14958,#14959,#14960)); +#14962=FACE_OUTER_BOUND('',#14961,.F.); +#14963=ADVANCED_FACE('',(#14962),#14956,.F.); +#14964=CARTESIAN_POINT('',(8.89E0,7.175E-1,-1.9E-1)); +#14965=AXIS2_PLACEMENT_3D('',#14964,#12464,#84); +#14966=PLANE('',#14965); +#14967=ORIENTED_EDGE('',*,*,#17797,.F.); +#14968=ORIENTED_EDGE('',*,*,#19823,.F.); +#14969=ORIENTED_EDGE('',*,*,#19828,.T.); +#14970=ORIENTED_EDGE('',*,*,#19826,.T.); +#14971=EDGE_LOOP('',(#14967,#14968,#14969,#14970)); +#14972=FACE_OUTER_BOUND('',#14971,.F.); +#14973=ADVANCED_FACE('',(#14972),#14966,.F.); +#14974=CARTESIAN_POINT('',(4.826E1,-2.54E0,-3.8E-1)); +#14975=AXIS2_PLACEMENT_3D('',#14974,#2100,#84); +#14976=PLANE('',#14975); +#14977=ORIENTED_EDGE('',*,*,#19822,.F.); +#14978=ORIENTED_EDGE('',*,*,#19825,.F.); +#14979=ORIENTED_EDGE('',*,*,#19827,.F.); +#14980=ORIENTED_EDGE('',*,*,#19828,.F.); +#14981=EDGE_LOOP('',(#14977,#14978,#14979,#14980)); +#14982=FACE_OUTER_BOUND('',#14981,.F.); +#14983=ADVANCED_FACE('',(#14982),#14976,.T.); +#14984=CARTESIAN_POINT('',(1.0875E1,1.27E0,-1.9E-1)); +#14985=AXIS2_PLACEMENT_3D('',#14984,#12431,#72); +#14986=PLANE('',#14985); +#14987=ORIENTED_EDGE('',*,*,#17800,.F.); +#14988=ORIENTED_EDGE('',*,*,#19829,.F.); +#14989=ORIENTED_EDGE('',*,*,#19830,.T.); +#14990=ORIENTED_EDGE('',*,*,#19831,.T.); +#14991=EDGE_LOOP('',(#14987,#14988,#14989,#14990)); +#14992=FACE_OUTER_BOUND('',#14991,.F.); +#14993=ADVANCED_FACE('',(#14992),#14986,.F.); +#14994=CARTESIAN_POINT('',(1.143E1,1.8225E0,-1.9E-1)); +#14995=AXIS2_PLACEMENT_3D('',#14994,#12442,#76); +#14996=PLANE('',#14995); +#14997=ORIENTED_EDGE('',*,*,#17803,.F.); +#14998=ORIENTED_EDGE('',*,*,#19832,.F.); +#14999=ORIENTED_EDGE('',*,*,#19833,.T.); +#15000=ORIENTED_EDGE('',*,*,#19829,.T.); +#15001=EDGE_LOOP('',(#14997,#14998,#14999,#15000)); +#15002=FACE_OUTER_BOUND('',#15001,.F.); +#15003=ADVANCED_FACE('',(#15002),#14996,.F.); +#15004=CARTESIAN_POINT('',(1.1985E1,1.27E0,-1.9E-1)); +#15005=AXIS2_PLACEMENT_3D('',#15004,#12453,#80); +#15006=PLANE('',#15005); +#15007=ORIENTED_EDGE('',*,*,#17802,.F.); +#15008=ORIENTED_EDGE('',*,*,#19834,.F.); +#15009=ORIENTED_EDGE('',*,*,#19835,.T.); +#15010=ORIENTED_EDGE('',*,*,#19832,.T.); +#15011=EDGE_LOOP('',(#15007,#15008,#15009,#15010)); +#15012=FACE_OUTER_BOUND('',#15011,.F.); +#15013=ADVANCED_FACE('',(#15012),#15006,.F.); +#15014=CARTESIAN_POINT('',(1.143E1,7.175E-1,-1.9E-1)); +#15015=AXIS2_PLACEMENT_3D('',#15014,#12464,#84); +#15016=PLANE('',#15015); +#15017=ORIENTED_EDGE('',*,*,#17801,.F.); +#15018=ORIENTED_EDGE('',*,*,#19831,.F.); +#15019=ORIENTED_EDGE('',*,*,#19836,.T.); +#15020=ORIENTED_EDGE('',*,*,#19834,.T.); +#15021=EDGE_LOOP('',(#15017,#15018,#15019,#15020)); +#15022=FACE_OUTER_BOUND('',#15021,.F.); +#15023=ADVANCED_FACE('',(#15022),#15016,.F.); +#15024=CARTESIAN_POINT('',(5.08E1,-2.54E0,-3.8E-1)); +#15025=AXIS2_PLACEMENT_3D('',#15024,#2100,#84); +#15026=PLANE('',#15025); +#15027=ORIENTED_EDGE('',*,*,#19830,.F.); +#15028=ORIENTED_EDGE('',*,*,#19833,.F.); +#15029=ORIENTED_EDGE('',*,*,#19835,.F.); +#15030=ORIENTED_EDGE('',*,*,#19836,.F.); +#15031=EDGE_LOOP('',(#15027,#15028,#15029,#15030)); +#15032=FACE_OUTER_BOUND('',#15031,.F.); +#15033=ADVANCED_FACE('',(#15032),#15026,.T.); +#15034=CARTESIAN_POINT('',(1.3415E1,1.27E0,-1.9E-1)); +#15035=AXIS2_PLACEMENT_3D('',#15034,#12431,#72); +#15036=PLANE('',#15035); +#15037=ORIENTED_EDGE('',*,*,#17804,.F.); +#15038=ORIENTED_EDGE('',*,*,#19837,.F.); +#15039=ORIENTED_EDGE('',*,*,#19838,.T.); +#15040=ORIENTED_EDGE('',*,*,#19839,.T.); +#15041=EDGE_LOOP('',(#15037,#15038,#15039,#15040)); +#15042=FACE_OUTER_BOUND('',#15041,.F.); +#15043=ADVANCED_FACE('',(#15042),#15036,.F.); +#15044=CARTESIAN_POINT('',(1.397E1,1.8225E0,-1.9E-1)); +#15045=AXIS2_PLACEMENT_3D('',#15044,#12442,#76); +#15046=PLANE('',#15045); +#15047=ORIENTED_EDGE('',*,*,#17807,.F.); +#15048=ORIENTED_EDGE('',*,*,#19840,.F.); +#15049=ORIENTED_EDGE('',*,*,#19841,.T.); +#15050=ORIENTED_EDGE('',*,*,#19837,.T.); +#15051=EDGE_LOOP('',(#15047,#15048,#15049,#15050)); +#15052=FACE_OUTER_BOUND('',#15051,.F.); +#15053=ADVANCED_FACE('',(#15052),#15046,.F.); +#15054=CARTESIAN_POINT('',(1.4525E1,1.27E0,-1.9E-1)); +#15055=AXIS2_PLACEMENT_3D('',#15054,#12453,#80); +#15056=PLANE('',#15055); +#15057=ORIENTED_EDGE('',*,*,#17806,.F.); +#15058=ORIENTED_EDGE('',*,*,#19842,.F.); +#15059=ORIENTED_EDGE('',*,*,#19843,.T.); +#15060=ORIENTED_EDGE('',*,*,#19840,.T.); +#15061=EDGE_LOOP('',(#15057,#15058,#15059,#15060)); +#15062=FACE_OUTER_BOUND('',#15061,.F.); +#15063=ADVANCED_FACE('',(#15062),#15056,.F.); +#15064=CARTESIAN_POINT('',(1.397E1,7.175E-1,-1.9E-1)); +#15065=AXIS2_PLACEMENT_3D('',#15064,#12464,#84); +#15066=PLANE('',#15065); +#15067=ORIENTED_EDGE('',*,*,#17805,.F.); +#15068=ORIENTED_EDGE('',*,*,#19839,.F.); +#15069=ORIENTED_EDGE('',*,*,#19844,.T.); +#15070=ORIENTED_EDGE('',*,*,#19842,.T.); +#15071=EDGE_LOOP('',(#15067,#15068,#15069,#15070)); +#15072=FACE_OUTER_BOUND('',#15071,.F.); +#15073=ADVANCED_FACE('',(#15072),#15066,.F.); +#15074=CARTESIAN_POINT('',(5.334E1,-2.54E0,-3.8E-1)); +#15075=AXIS2_PLACEMENT_3D('',#15074,#2100,#84); +#15076=PLANE('',#15075); +#15077=ORIENTED_EDGE('',*,*,#19838,.F.); +#15078=ORIENTED_EDGE('',*,*,#19841,.F.); +#15079=ORIENTED_EDGE('',*,*,#19843,.F.); +#15080=ORIENTED_EDGE('',*,*,#19844,.F.); +#15081=EDGE_LOOP('',(#15077,#15078,#15079,#15080)); +#15082=FACE_OUTER_BOUND('',#15081,.F.); +#15083=ADVANCED_FACE('',(#15082),#15076,.T.); +#15084=CARTESIAN_POINT('',(1.5955E1,1.27E0,-1.9E-1)); +#15085=AXIS2_PLACEMENT_3D('',#15084,#12431,#72); +#15086=PLANE('',#15085); +#15087=ORIENTED_EDGE('',*,*,#17808,.F.); +#15088=ORIENTED_EDGE('',*,*,#19845,.F.); +#15089=ORIENTED_EDGE('',*,*,#19846,.T.); +#15090=ORIENTED_EDGE('',*,*,#19847,.T.); +#15091=EDGE_LOOP('',(#15087,#15088,#15089,#15090)); +#15092=FACE_OUTER_BOUND('',#15091,.F.); +#15093=ADVANCED_FACE('',(#15092),#15086,.F.); +#15094=CARTESIAN_POINT('',(1.651E1,1.8225E0,-1.9E-1)); +#15095=AXIS2_PLACEMENT_3D('',#15094,#12442,#76); +#15096=PLANE('',#15095); +#15097=ORIENTED_EDGE('',*,*,#17811,.F.); +#15098=ORIENTED_EDGE('',*,*,#19848,.F.); +#15099=ORIENTED_EDGE('',*,*,#19849,.T.); +#15100=ORIENTED_EDGE('',*,*,#19845,.T.); +#15101=EDGE_LOOP('',(#15097,#15098,#15099,#15100)); +#15102=FACE_OUTER_BOUND('',#15101,.F.); +#15103=ADVANCED_FACE('',(#15102),#15096,.F.); +#15104=CARTESIAN_POINT('',(1.7065E1,1.27E0,-1.9E-1)); +#15105=AXIS2_PLACEMENT_3D('',#15104,#12453,#80); +#15106=PLANE('',#15105); +#15107=ORIENTED_EDGE('',*,*,#17810,.F.); +#15108=ORIENTED_EDGE('',*,*,#19850,.F.); +#15109=ORIENTED_EDGE('',*,*,#19851,.T.); +#15110=ORIENTED_EDGE('',*,*,#19848,.T.); +#15111=EDGE_LOOP('',(#15107,#15108,#15109,#15110)); +#15112=FACE_OUTER_BOUND('',#15111,.F.); +#15113=ADVANCED_FACE('',(#15112),#15106,.F.); +#15114=CARTESIAN_POINT('',(1.651E1,7.175E-1,-1.9E-1)); +#15115=AXIS2_PLACEMENT_3D('',#15114,#12464,#84); +#15116=PLANE('',#15115); +#15117=ORIENTED_EDGE('',*,*,#17809,.F.); +#15118=ORIENTED_EDGE('',*,*,#19847,.F.); +#15119=ORIENTED_EDGE('',*,*,#19852,.T.); +#15120=ORIENTED_EDGE('',*,*,#19850,.T.); +#15121=EDGE_LOOP('',(#15117,#15118,#15119,#15120)); +#15122=FACE_OUTER_BOUND('',#15121,.F.); +#15123=ADVANCED_FACE('',(#15122),#15116,.F.); +#15124=CARTESIAN_POINT('',(5.588E1,-2.54E0,-3.8E-1)); +#15125=AXIS2_PLACEMENT_3D('',#15124,#2100,#84); +#15126=PLANE('',#15125); +#15127=ORIENTED_EDGE('',*,*,#19846,.F.); +#15128=ORIENTED_EDGE('',*,*,#19849,.F.); +#15129=ORIENTED_EDGE('',*,*,#19851,.F.); +#15130=ORIENTED_EDGE('',*,*,#19852,.F.); +#15131=EDGE_LOOP('',(#15127,#15128,#15129,#15130)); +#15132=FACE_OUTER_BOUND('',#15131,.F.); +#15133=ADVANCED_FACE('',(#15132),#15126,.T.); +#15134=CARTESIAN_POINT('',(1.8495E1,1.27E0,-1.9E-1)); +#15135=AXIS2_PLACEMENT_3D('',#15134,#12431,#72); +#15136=PLANE('',#15135); +#15137=ORIENTED_EDGE('',*,*,#17812,.F.); +#15138=ORIENTED_EDGE('',*,*,#19853,.F.); +#15139=ORIENTED_EDGE('',*,*,#19854,.T.); +#15140=ORIENTED_EDGE('',*,*,#19855,.T.); +#15141=EDGE_LOOP('',(#15137,#15138,#15139,#15140)); +#15142=FACE_OUTER_BOUND('',#15141,.F.); +#15143=ADVANCED_FACE('',(#15142),#15136,.F.); +#15144=CARTESIAN_POINT('',(1.905E1,1.8225E0,-1.9E-1)); +#15145=AXIS2_PLACEMENT_3D('',#15144,#12442,#76); +#15146=PLANE('',#15145); +#15147=ORIENTED_EDGE('',*,*,#17815,.F.); +#15148=ORIENTED_EDGE('',*,*,#19856,.F.); +#15149=ORIENTED_EDGE('',*,*,#19857,.T.); +#15150=ORIENTED_EDGE('',*,*,#19853,.T.); +#15151=EDGE_LOOP('',(#15147,#15148,#15149,#15150)); +#15152=FACE_OUTER_BOUND('',#15151,.F.); +#15153=ADVANCED_FACE('',(#15152),#15146,.F.); +#15154=CARTESIAN_POINT('',(1.9605E1,1.27E0,-1.9E-1)); +#15155=AXIS2_PLACEMENT_3D('',#15154,#12453,#80); +#15156=PLANE('',#15155); +#15157=ORIENTED_EDGE('',*,*,#17814,.F.); +#15158=ORIENTED_EDGE('',*,*,#19858,.F.); +#15159=ORIENTED_EDGE('',*,*,#19859,.T.); +#15160=ORIENTED_EDGE('',*,*,#19856,.T.); +#15161=EDGE_LOOP('',(#15157,#15158,#15159,#15160)); +#15162=FACE_OUTER_BOUND('',#15161,.F.); +#15163=ADVANCED_FACE('',(#15162),#15156,.F.); +#15164=CARTESIAN_POINT('',(1.905E1,7.175E-1,-1.9E-1)); +#15165=AXIS2_PLACEMENT_3D('',#15164,#12464,#84); +#15166=PLANE('',#15165); +#15167=ORIENTED_EDGE('',*,*,#17813,.F.); +#15168=ORIENTED_EDGE('',*,*,#19855,.F.); +#15169=ORIENTED_EDGE('',*,*,#19860,.T.); +#15170=ORIENTED_EDGE('',*,*,#19858,.T.); +#15171=EDGE_LOOP('',(#15167,#15168,#15169,#15170)); +#15172=FACE_OUTER_BOUND('',#15171,.F.); +#15173=ADVANCED_FACE('',(#15172),#15166,.F.); +#15174=CARTESIAN_POINT('',(5.842E1,-2.54E0,-3.8E-1)); +#15175=AXIS2_PLACEMENT_3D('',#15174,#2100,#84); +#15176=PLANE('',#15175); +#15177=ORIENTED_EDGE('',*,*,#19854,.F.); +#15178=ORIENTED_EDGE('',*,*,#19857,.F.); +#15179=ORIENTED_EDGE('',*,*,#19859,.F.); +#15180=ORIENTED_EDGE('',*,*,#19860,.F.); +#15181=EDGE_LOOP('',(#15177,#15178,#15179,#15180)); +#15182=FACE_OUTER_BOUND('',#15181,.F.); +#15183=ADVANCED_FACE('',(#15182),#15176,.T.); +#15184=CARTESIAN_POINT('',(2.1035E1,1.27E0,-1.9E-1)); +#15185=AXIS2_PLACEMENT_3D('',#15184,#12431,#72); +#15186=PLANE('',#15185); +#15187=ORIENTED_EDGE('',*,*,#17816,.F.); +#15188=ORIENTED_EDGE('',*,*,#19861,.F.); +#15189=ORIENTED_EDGE('',*,*,#19862,.T.); +#15190=ORIENTED_EDGE('',*,*,#19863,.T.); +#15191=EDGE_LOOP('',(#15187,#15188,#15189,#15190)); +#15192=FACE_OUTER_BOUND('',#15191,.F.); +#15193=ADVANCED_FACE('',(#15192),#15186,.F.); +#15194=CARTESIAN_POINT('',(2.159E1,1.8225E0,-1.9E-1)); +#15195=AXIS2_PLACEMENT_3D('',#15194,#12442,#76); +#15196=PLANE('',#15195); +#15197=ORIENTED_EDGE('',*,*,#17819,.F.); +#15198=ORIENTED_EDGE('',*,*,#19864,.F.); +#15199=ORIENTED_EDGE('',*,*,#19865,.T.); +#15200=ORIENTED_EDGE('',*,*,#19861,.T.); +#15201=EDGE_LOOP('',(#15197,#15198,#15199,#15200)); +#15202=FACE_OUTER_BOUND('',#15201,.F.); +#15203=ADVANCED_FACE('',(#15202),#15196,.F.); +#15204=CARTESIAN_POINT('',(2.2145E1,1.27E0,-1.9E-1)); +#15205=AXIS2_PLACEMENT_3D('',#15204,#12453,#80); +#15206=PLANE('',#15205); +#15207=ORIENTED_EDGE('',*,*,#17818,.F.); +#15208=ORIENTED_EDGE('',*,*,#19866,.F.); +#15209=ORIENTED_EDGE('',*,*,#19867,.T.); +#15210=ORIENTED_EDGE('',*,*,#19864,.T.); +#15211=EDGE_LOOP('',(#15207,#15208,#15209,#15210)); +#15212=FACE_OUTER_BOUND('',#15211,.F.); +#15213=ADVANCED_FACE('',(#15212),#15206,.F.); +#15214=CARTESIAN_POINT('',(2.159E1,7.175E-1,-1.9E-1)); +#15215=AXIS2_PLACEMENT_3D('',#15214,#12464,#84); +#15216=PLANE('',#15215); +#15217=ORIENTED_EDGE('',*,*,#17817,.F.); +#15218=ORIENTED_EDGE('',*,*,#19863,.F.); +#15219=ORIENTED_EDGE('',*,*,#19868,.T.); +#15220=ORIENTED_EDGE('',*,*,#19866,.T.); +#15221=EDGE_LOOP('',(#15217,#15218,#15219,#15220)); +#15222=FACE_OUTER_BOUND('',#15221,.F.); +#15223=ADVANCED_FACE('',(#15222),#15216,.F.); +#15224=CARTESIAN_POINT('',(6.096E1,-2.54E0,-3.8E-1)); +#15225=AXIS2_PLACEMENT_3D('',#15224,#2100,#84); +#15226=PLANE('',#15225); +#15227=ORIENTED_EDGE('',*,*,#19862,.F.); +#15228=ORIENTED_EDGE('',*,*,#19865,.F.); +#15229=ORIENTED_EDGE('',*,*,#19867,.F.); +#15230=ORIENTED_EDGE('',*,*,#19868,.F.); +#15231=EDGE_LOOP('',(#15227,#15228,#15229,#15230)); +#15232=FACE_OUTER_BOUND('',#15231,.F.); +#15233=ADVANCED_FACE('',(#15232),#15226,.T.); +#15234=CARTESIAN_POINT('',(2.3575E1,1.27E0,-1.9E-1)); +#15235=AXIS2_PLACEMENT_3D('',#15234,#12431,#72); +#15236=PLANE('',#15235); +#15237=ORIENTED_EDGE('',*,*,#17820,.F.); +#15238=ORIENTED_EDGE('',*,*,#19869,.F.); +#15239=ORIENTED_EDGE('',*,*,#19870,.T.); +#15240=ORIENTED_EDGE('',*,*,#19871,.T.); +#15241=EDGE_LOOP('',(#15237,#15238,#15239,#15240)); +#15242=FACE_OUTER_BOUND('',#15241,.F.); +#15243=ADVANCED_FACE('',(#15242),#15236,.F.); +#15244=CARTESIAN_POINT('',(2.413E1,1.8225E0,-1.9E-1)); +#15245=AXIS2_PLACEMENT_3D('',#15244,#12442,#76); +#15246=PLANE('',#15245); +#15247=ORIENTED_EDGE('',*,*,#17823,.F.); +#15248=ORIENTED_EDGE('',*,*,#19872,.F.); +#15249=ORIENTED_EDGE('',*,*,#19873,.T.); +#15250=ORIENTED_EDGE('',*,*,#19869,.T.); +#15251=EDGE_LOOP('',(#15247,#15248,#15249,#15250)); +#15252=FACE_OUTER_BOUND('',#15251,.F.); +#15253=ADVANCED_FACE('',(#15252),#15246,.F.); +#15254=CARTESIAN_POINT('',(2.4685E1,1.27E0,-1.9E-1)); +#15255=AXIS2_PLACEMENT_3D('',#15254,#12453,#80); +#15256=PLANE('',#15255); +#15257=ORIENTED_EDGE('',*,*,#17822,.F.); +#15258=ORIENTED_EDGE('',*,*,#19874,.F.); +#15259=ORIENTED_EDGE('',*,*,#19875,.T.); +#15260=ORIENTED_EDGE('',*,*,#19872,.T.); +#15261=EDGE_LOOP('',(#15257,#15258,#15259,#15260)); +#15262=FACE_OUTER_BOUND('',#15261,.F.); +#15263=ADVANCED_FACE('',(#15262),#15256,.F.); +#15264=CARTESIAN_POINT('',(2.413E1,7.175E-1,-1.9E-1)); +#15265=AXIS2_PLACEMENT_3D('',#15264,#12464,#84); +#15266=PLANE('',#15265); +#15267=ORIENTED_EDGE('',*,*,#17821,.F.); +#15268=ORIENTED_EDGE('',*,*,#19871,.F.); +#15269=ORIENTED_EDGE('',*,*,#19876,.T.); +#15270=ORIENTED_EDGE('',*,*,#19874,.T.); +#15271=EDGE_LOOP('',(#15267,#15268,#15269,#15270)); +#15272=FACE_OUTER_BOUND('',#15271,.F.); +#15273=ADVANCED_FACE('',(#15272),#15266,.F.); +#15274=CARTESIAN_POINT('',(6.35E1,-2.54E0,-3.8E-1)); +#15275=AXIS2_PLACEMENT_3D('',#15274,#2100,#84); +#15276=PLANE('',#15275); +#15277=ORIENTED_EDGE('',*,*,#19870,.F.); +#15278=ORIENTED_EDGE('',*,*,#19873,.F.); +#15279=ORIENTED_EDGE('',*,*,#19875,.F.); +#15280=ORIENTED_EDGE('',*,*,#19876,.F.); +#15281=EDGE_LOOP('',(#15277,#15278,#15279,#15280)); +#15282=FACE_OUTER_BOUND('',#15281,.F.); +#15283=ADVANCED_FACE('',(#15282),#15276,.T.); +#15284=CARTESIAN_POINT('',(2.6115E1,1.27E0,-1.9E-1)); +#15285=AXIS2_PLACEMENT_3D('',#15284,#12431,#72); +#15286=PLANE('',#15285); +#15287=ORIENTED_EDGE('',*,*,#17824,.F.); +#15288=ORIENTED_EDGE('',*,*,#19877,.F.); +#15289=ORIENTED_EDGE('',*,*,#19878,.T.); +#15290=ORIENTED_EDGE('',*,*,#19879,.T.); +#15291=EDGE_LOOP('',(#15287,#15288,#15289,#15290)); +#15292=FACE_OUTER_BOUND('',#15291,.F.); +#15293=ADVANCED_FACE('',(#15292),#15286,.F.); +#15294=CARTESIAN_POINT('',(2.667E1,1.8225E0,-1.9E-1)); +#15295=AXIS2_PLACEMENT_3D('',#15294,#12442,#76); +#15296=PLANE('',#15295); +#15297=ORIENTED_EDGE('',*,*,#17827,.F.); +#15298=ORIENTED_EDGE('',*,*,#19880,.F.); +#15299=ORIENTED_EDGE('',*,*,#19881,.T.); +#15300=ORIENTED_EDGE('',*,*,#19877,.T.); +#15301=EDGE_LOOP('',(#15297,#15298,#15299,#15300)); +#15302=FACE_OUTER_BOUND('',#15301,.F.); +#15303=ADVANCED_FACE('',(#15302),#15296,.F.); +#15304=CARTESIAN_POINT('',(2.7225E1,1.27E0,-1.9E-1)); +#15305=AXIS2_PLACEMENT_3D('',#15304,#12453,#80); +#15306=PLANE('',#15305); +#15307=ORIENTED_EDGE('',*,*,#17826,.F.); +#15308=ORIENTED_EDGE('',*,*,#19882,.F.); +#15309=ORIENTED_EDGE('',*,*,#19883,.T.); +#15310=ORIENTED_EDGE('',*,*,#19880,.T.); +#15311=EDGE_LOOP('',(#15307,#15308,#15309,#15310)); +#15312=FACE_OUTER_BOUND('',#15311,.F.); +#15313=ADVANCED_FACE('',(#15312),#15306,.F.); +#15314=CARTESIAN_POINT('',(2.667E1,7.175E-1,-1.9E-1)); +#15315=AXIS2_PLACEMENT_3D('',#15314,#12464,#84); +#15316=PLANE('',#15315); +#15317=ORIENTED_EDGE('',*,*,#17825,.F.); +#15318=ORIENTED_EDGE('',*,*,#19879,.F.); +#15319=ORIENTED_EDGE('',*,*,#19884,.T.); +#15320=ORIENTED_EDGE('',*,*,#19882,.T.); +#15321=EDGE_LOOP('',(#15317,#15318,#15319,#15320)); +#15322=FACE_OUTER_BOUND('',#15321,.F.); +#15323=ADVANCED_FACE('',(#15322),#15316,.F.); +#15324=CARTESIAN_POINT('',(6.604E1,-2.54E0,-3.8E-1)); +#15325=AXIS2_PLACEMENT_3D('',#15324,#2100,#84); +#15326=PLANE('',#15325); +#15327=ORIENTED_EDGE('',*,*,#19878,.F.); +#15328=ORIENTED_EDGE('',*,*,#19881,.F.); +#15329=ORIENTED_EDGE('',*,*,#19883,.F.); +#15330=ORIENTED_EDGE('',*,*,#19884,.F.); +#15331=EDGE_LOOP('',(#15327,#15328,#15329,#15330)); +#15332=FACE_OUTER_BOUND('',#15331,.F.); +#15333=ADVANCED_FACE('',(#15332),#15326,.T.); +#15334=CARTESIAN_POINT('',(2.8655E1,1.27E0,-1.9E-1)); +#15335=AXIS2_PLACEMENT_3D('',#15334,#12431,#72); +#15336=PLANE('',#15335); +#15337=ORIENTED_EDGE('',*,*,#17828,.F.); +#15338=ORIENTED_EDGE('',*,*,#19885,.F.); +#15339=ORIENTED_EDGE('',*,*,#19886,.T.); +#15340=ORIENTED_EDGE('',*,*,#19887,.T.); +#15341=EDGE_LOOP('',(#15337,#15338,#15339,#15340)); +#15342=FACE_OUTER_BOUND('',#15341,.F.); +#15343=ADVANCED_FACE('',(#15342),#15336,.F.); +#15344=CARTESIAN_POINT('',(2.921E1,1.8225E0,-1.9E-1)); +#15345=AXIS2_PLACEMENT_3D('',#15344,#12442,#76); +#15346=PLANE('',#15345); +#15347=ORIENTED_EDGE('',*,*,#17831,.F.); +#15348=ORIENTED_EDGE('',*,*,#19888,.F.); +#15349=ORIENTED_EDGE('',*,*,#19889,.T.); +#15350=ORIENTED_EDGE('',*,*,#19885,.T.); +#15351=EDGE_LOOP('',(#15347,#15348,#15349,#15350)); +#15352=FACE_OUTER_BOUND('',#15351,.F.); +#15353=ADVANCED_FACE('',(#15352),#15346,.F.); +#15354=CARTESIAN_POINT('',(2.9765E1,1.27E0,-1.9E-1)); +#15355=AXIS2_PLACEMENT_3D('',#15354,#12453,#80); +#15356=PLANE('',#15355); +#15357=ORIENTED_EDGE('',*,*,#17830,.F.); +#15358=ORIENTED_EDGE('',*,*,#19890,.F.); +#15359=ORIENTED_EDGE('',*,*,#19891,.T.); +#15360=ORIENTED_EDGE('',*,*,#19888,.T.); +#15361=EDGE_LOOP('',(#15357,#15358,#15359,#15360)); +#15362=FACE_OUTER_BOUND('',#15361,.F.); +#15363=ADVANCED_FACE('',(#15362),#15356,.F.); +#15364=CARTESIAN_POINT('',(2.921E1,7.175E-1,-1.9E-1)); +#15365=AXIS2_PLACEMENT_3D('',#15364,#12464,#84); +#15366=PLANE('',#15365); +#15367=ORIENTED_EDGE('',*,*,#17829,.F.); +#15368=ORIENTED_EDGE('',*,*,#19887,.F.); +#15369=ORIENTED_EDGE('',*,*,#19892,.T.); +#15370=ORIENTED_EDGE('',*,*,#19890,.T.); +#15371=EDGE_LOOP('',(#15367,#15368,#15369,#15370)); +#15372=FACE_OUTER_BOUND('',#15371,.F.); +#15373=ADVANCED_FACE('',(#15372),#15366,.F.); +#15374=CARTESIAN_POINT('',(6.858E1,-2.54E0,-3.8E-1)); +#15375=AXIS2_PLACEMENT_3D('',#15374,#2100,#84); +#15376=PLANE('',#15375); +#15377=ORIENTED_EDGE('',*,*,#19886,.F.); +#15378=ORIENTED_EDGE('',*,*,#19889,.F.); +#15379=ORIENTED_EDGE('',*,*,#19891,.F.); +#15380=ORIENTED_EDGE('',*,*,#19892,.F.); +#15381=EDGE_LOOP('',(#15377,#15378,#15379,#15380)); +#15382=FACE_OUTER_BOUND('',#15381,.F.); +#15383=ADVANCED_FACE('',(#15382),#15376,.T.); +#15384=CARTESIAN_POINT('',(3.1195E1,1.27E0,-1.9E-1)); +#15385=AXIS2_PLACEMENT_3D('',#15384,#12431,#72); +#15386=PLANE('',#15385); +#15387=ORIENTED_EDGE('',*,*,#17832,.F.); +#15388=ORIENTED_EDGE('',*,*,#19893,.F.); +#15389=ORIENTED_EDGE('',*,*,#19894,.T.); +#15390=ORIENTED_EDGE('',*,*,#19895,.T.); +#15391=EDGE_LOOP('',(#15387,#15388,#15389,#15390)); +#15392=FACE_OUTER_BOUND('',#15391,.F.); +#15393=ADVANCED_FACE('',(#15392),#15386,.F.); +#15394=CARTESIAN_POINT('',(3.175E1,1.8225E0,-1.9E-1)); +#15395=AXIS2_PLACEMENT_3D('',#15394,#12442,#76); +#15396=PLANE('',#15395); +#15397=ORIENTED_EDGE('',*,*,#17835,.F.); +#15398=ORIENTED_EDGE('',*,*,#19896,.F.); +#15399=ORIENTED_EDGE('',*,*,#19897,.T.); +#15400=ORIENTED_EDGE('',*,*,#19893,.T.); +#15401=EDGE_LOOP('',(#15397,#15398,#15399,#15400)); +#15402=FACE_OUTER_BOUND('',#15401,.F.); +#15403=ADVANCED_FACE('',(#15402),#15396,.F.); +#15404=CARTESIAN_POINT('',(3.2305E1,1.27E0,-1.9E-1)); +#15405=AXIS2_PLACEMENT_3D('',#15404,#12453,#80); +#15406=PLANE('',#15405); +#15407=ORIENTED_EDGE('',*,*,#17834,.F.); +#15408=ORIENTED_EDGE('',*,*,#19898,.F.); +#15409=ORIENTED_EDGE('',*,*,#19899,.T.); +#15410=ORIENTED_EDGE('',*,*,#19896,.T.); +#15411=EDGE_LOOP('',(#15407,#15408,#15409,#15410)); +#15412=FACE_OUTER_BOUND('',#15411,.F.); +#15413=ADVANCED_FACE('',(#15412),#15406,.F.); +#15414=CARTESIAN_POINT('',(3.175E1,7.175E-1,-1.9E-1)); +#15415=AXIS2_PLACEMENT_3D('',#15414,#12464,#84); +#15416=PLANE('',#15415); +#15417=ORIENTED_EDGE('',*,*,#17833,.F.); +#15418=ORIENTED_EDGE('',*,*,#19895,.F.); +#15419=ORIENTED_EDGE('',*,*,#19900,.T.); +#15420=ORIENTED_EDGE('',*,*,#19898,.T.); +#15421=EDGE_LOOP('',(#15417,#15418,#15419,#15420)); +#15422=FACE_OUTER_BOUND('',#15421,.F.); +#15423=ADVANCED_FACE('',(#15422),#15416,.F.); +#15424=CARTESIAN_POINT('',(7.112E1,-2.54E0,-3.8E-1)); +#15425=AXIS2_PLACEMENT_3D('',#15424,#2100,#84); +#15426=PLANE('',#15425); +#15427=ORIENTED_EDGE('',*,*,#19894,.F.); +#15428=ORIENTED_EDGE('',*,*,#19897,.F.); +#15429=ORIENTED_EDGE('',*,*,#19899,.F.); +#15430=ORIENTED_EDGE('',*,*,#19900,.F.); +#15431=EDGE_LOOP('',(#15427,#15428,#15429,#15430)); +#15432=FACE_OUTER_BOUND('',#15431,.F.); +#15433=ADVANCED_FACE('',(#15432),#15426,.T.); +#15434=CARTESIAN_POINT('',(3.3735E1,1.27E0,-1.9E-1)); +#15435=AXIS2_PLACEMENT_3D('',#15434,#12431,#72); +#15436=PLANE('',#15435); +#15437=ORIENTED_EDGE('',*,*,#17836,.F.); +#15438=ORIENTED_EDGE('',*,*,#19901,.F.); +#15439=ORIENTED_EDGE('',*,*,#19902,.T.); +#15440=ORIENTED_EDGE('',*,*,#19903,.T.); +#15441=EDGE_LOOP('',(#15437,#15438,#15439,#15440)); +#15442=FACE_OUTER_BOUND('',#15441,.F.); +#15443=ADVANCED_FACE('',(#15442),#15436,.F.); +#15444=CARTESIAN_POINT('',(3.429E1,1.8225E0,-1.9E-1)); +#15445=AXIS2_PLACEMENT_3D('',#15444,#12442,#76); +#15446=PLANE('',#15445); +#15447=ORIENTED_EDGE('',*,*,#17839,.F.); +#15448=ORIENTED_EDGE('',*,*,#19904,.F.); +#15449=ORIENTED_EDGE('',*,*,#19905,.T.); +#15450=ORIENTED_EDGE('',*,*,#19901,.T.); +#15451=EDGE_LOOP('',(#15447,#15448,#15449,#15450)); +#15452=FACE_OUTER_BOUND('',#15451,.F.); +#15453=ADVANCED_FACE('',(#15452),#15446,.F.); +#15454=CARTESIAN_POINT('',(3.4845E1,1.27E0,-1.9E-1)); +#15455=AXIS2_PLACEMENT_3D('',#15454,#12453,#80); +#15456=PLANE('',#15455); +#15457=ORIENTED_EDGE('',*,*,#17838,.F.); +#15458=ORIENTED_EDGE('',*,*,#19906,.F.); +#15459=ORIENTED_EDGE('',*,*,#19907,.T.); +#15460=ORIENTED_EDGE('',*,*,#19904,.T.); +#15461=EDGE_LOOP('',(#15457,#15458,#15459,#15460)); +#15462=FACE_OUTER_BOUND('',#15461,.F.); +#15463=ADVANCED_FACE('',(#15462),#15456,.F.); +#15464=CARTESIAN_POINT('',(3.429E1,7.175E-1,-1.9E-1)); +#15465=AXIS2_PLACEMENT_3D('',#15464,#12464,#84); +#15466=PLANE('',#15465); +#15467=ORIENTED_EDGE('',*,*,#17837,.F.); +#15468=ORIENTED_EDGE('',*,*,#19903,.F.); +#15469=ORIENTED_EDGE('',*,*,#19908,.T.); +#15470=ORIENTED_EDGE('',*,*,#19906,.T.); +#15471=EDGE_LOOP('',(#15467,#15468,#15469,#15470)); +#15472=FACE_OUTER_BOUND('',#15471,.F.); +#15473=ADVANCED_FACE('',(#15472),#15466,.F.); +#15474=CARTESIAN_POINT('',(7.366E1,-2.54E0,-3.8E-1)); +#15475=AXIS2_PLACEMENT_3D('',#15474,#2100,#84); +#15476=PLANE('',#15475); +#15477=ORIENTED_EDGE('',*,*,#19902,.F.); +#15478=ORIENTED_EDGE('',*,*,#19905,.F.); +#15479=ORIENTED_EDGE('',*,*,#19907,.F.); +#15480=ORIENTED_EDGE('',*,*,#19908,.F.); +#15481=EDGE_LOOP('',(#15477,#15478,#15479,#15480)); +#15482=FACE_OUTER_BOUND('',#15481,.F.); +#15483=ADVANCED_FACE('',(#15482),#15476,.T.); +#15484=CARTESIAN_POINT('',(3.6275E1,1.27E0,-1.9E-1)); +#15485=AXIS2_PLACEMENT_3D('',#15484,#12431,#72); +#15486=PLANE('',#15485); +#15487=ORIENTED_EDGE('',*,*,#17840,.F.); +#15488=ORIENTED_EDGE('',*,*,#19909,.F.); +#15489=ORIENTED_EDGE('',*,*,#19910,.T.); +#15490=ORIENTED_EDGE('',*,*,#19911,.T.); +#15491=EDGE_LOOP('',(#15487,#15488,#15489,#15490)); +#15492=FACE_OUTER_BOUND('',#15491,.F.); +#15493=ADVANCED_FACE('',(#15492),#15486,.F.); +#15494=CARTESIAN_POINT('',(3.683E1,1.8225E0,-1.9E-1)); +#15495=AXIS2_PLACEMENT_3D('',#15494,#12442,#76); +#15496=PLANE('',#15495); +#15497=ORIENTED_EDGE('',*,*,#17843,.F.); +#15498=ORIENTED_EDGE('',*,*,#19912,.F.); +#15499=ORIENTED_EDGE('',*,*,#19913,.T.); +#15500=ORIENTED_EDGE('',*,*,#19909,.T.); +#15501=EDGE_LOOP('',(#15497,#15498,#15499,#15500)); +#15502=FACE_OUTER_BOUND('',#15501,.F.); +#15503=ADVANCED_FACE('',(#15502),#15496,.F.); +#15504=CARTESIAN_POINT('',(3.7385E1,1.27E0,-1.9E-1)); +#15505=AXIS2_PLACEMENT_3D('',#15504,#12453,#80); +#15506=PLANE('',#15505); +#15507=ORIENTED_EDGE('',*,*,#17842,.F.); +#15508=ORIENTED_EDGE('',*,*,#19914,.F.); +#15509=ORIENTED_EDGE('',*,*,#19915,.T.); +#15510=ORIENTED_EDGE('',*,*,#19912,.T.); +#15511=EDGE_LOOP('',(#15507,#15508,#15509,#15510)); +#15512=FACE_OUTER_BOUND('',#15511,.F.); +#15513=ADVANCED_FACE('',(#15512),#15506,.F.); +#15514=CARTESIAN_POINT('',(3.683E1,7.175E-1,-1.9E-1)); +#15515=AXIS2_PLACEMENT_3D('',#15514,#12464,#84); +#15516=PLANE('',#15515); +#15517=ORIENTED_EDGE('',*,*,#17841,.F.); +#15518=ORIENTED_EDGE('',*,*,#19911,.F.); +#15519=ORIENTED_EDGE('',*,*,#19916,.T.); +#15520=ORIENTED_EDGE('',*,*,#19914,.T.); +#15521=EDGE_LOOP('',(#15517,#15518,#15519,#15520)); +#15522=FACE_OUTER_BOUND('',#15521,.F.); +#15523=ADVANCED_FACE('',(#15522),#15516,.F.); +#15524=CARTESIAN_POINT('',(7.62E1,-2.54E0,-3.8E-1)); +#15525=AXIS2_PLACEMENT_3D('',#15524,#2100,#84); +#15526=PLANE('',#15525); +#15527=ORIENTED_EDGE('',*,*,#19910,.F.); +#15528=ORIENTED_EDGE('',*,*,#19913,.F.); +#15529=ORIENTED_EDGE('',*,*,#19915,.F.); +#15530=ORIENTED_EDGE('',*,*,#19916,.F.); +#15531=EDGE_LOOP('',(#15527,#15528,#15529,#15530)); +#15532=FACE_OUTER_BOUND('',#15531,.F.); +#15533=ADVANCED_FACE('',(#15532),#15526,.T.); +#15534=CARTESIAN_POINT('',(3.8815E1,1.27E0,-1.9E-1)); +#15535=AXIS2_PLACEMENT_3D('',#15534,#12431,#72); +#15536=PLANE('',#15535); +#15537=ORIENTED_EDGE('',*,*,#17844,.F.); +#15538=ORIENTED_EDGE('',*,*,#19917,.F.); +#15539=ORIENTED_EDGE('',*,*,#19918,.T.); +#15540=ORIENTED_EDGE('',*,*,#19919,.T.); +#15541=EDGE_LOOP('',(#15537,#15538,#15539,#15540)); +#15542=FACE_OUTER_BOUND('',#15541,.F.); +#15543=ADVANCED_FACE('',(#15542),#15536,.F.); +#15544=CARTESIAN_POINT('',(3.937E1,1.8225E0,-1.9E-1)); +#15545=AXIS2_PLACEMENT_3D('',#15544,#12442,#76); +#15546=PLANE('',#15545); +#15547=ORIENTED_EDGE('',*,*,#17847,.F.); +#15548=ORIENTED_EDGE('',*,*,#19920,.F.); +#15549=ORIENTED_EDGE('',*,*,#19921,.T.); +#15550=ORIENTED_EDGE('',*,*,#19917,.T.); +#15551=EDGE_LOOP('',(#15547,#15548,#15549,#15550)); +#15552=FACE_OUTER_BOUND('',#15551,.F.); +#15553=ADVANCED_FACE('',(#15552),#15546,.F.); +#15554=CARTESIAN_POINT('',(3.9925E1,1.27E0,-1.9E-1)); +#15555=AXIS2_PLACEMENT_3D('',#15554,#12453,#80); +#15556=PLANE('',#15555); +#15557=ORIENTED_EDGE('',*,*,#17846,.F.); +#15558=ORIENTED_EDGE('',*,*,#19922,.F.); +#15559=ORIENTED_EDGE('',*,*,#19923,.T.); +#15560=ORIENTED_EDGE('',*,*,#19920,.T.); +#15561=EDGE_LOOP('',(#15557,#15558,#15559,#15560)); +#15562=FACE_OUTER_BOUND('',#15561,.F.); +#15563=ADVANCED_FACE('',(#15562),#15556,.F.); +#15564=CARTESIAN_POINT('',(3.937E1,7.175E-1,-1.9E-1)); +#15565=AXIS2_PLACEMENT_3D('',#15564,#12464,#84); +#15566=PLANE('',#15565); +#15567=ORIENTED_EDGE('',*,*,#17845,.F.); +#15568=ORIENTED_EDGE('',*,*,#19919,.F.); +#15569=ORIENTED_EDGE('',*,*,#19924,.T.); +#15570=ORIENTED_EDGE('',*,*,#19922,.T.); +#15571=EDGE_LOOP('',(#15567,#15568,#15569,#15570)); +#15572=FACE_OUTER_BOUND('',#15571,.F.); +#15573=ADVANCED_FACE('',(#15572),#15566,.F.); +#15574=CARTESIAN_POINT('',(7.874E1,-2.54E0,-3.8E-1)); +#15575=AXIS2_PLACEMENT_3D('',#15574,#2100,#84); +#15576=PLANE('',#15575); +#15577=ORIENTED_EDGE('',*,*,#19918,.F.); +#15578=ORIENTED_EDGE('',*,*,#19921,.F.); +#15579=ORIENTED_EDGE('',*,*,#19923,.F.); +#15580=ORIENTED_EDGE('',*,*,#19924,.F.); +#15581=EDGE_LOOP('',(#15577,#15578,#15579,#15580)); +#15582=FACE_OUTER_BOUND('',#15581,.F.); +#15583=ADVANCED_FACE('',(#15582),#15576,.T.); +#15584=CARTESIAN_POINT('',(-1.9605E1,-1.27E0,-1.9E-1)); +#15585=AXIS2_PLACEMENT_3D('',#15584,#12431,#72); +#15586=PLANE('',#15585); +#15587=ORIENTED_EDGE('',*,*,#17848,.F.); +#15588=ORIENTED_EDGE('',*,*,#19925,.F.); +#15589=ORIENTED_EDGE('',*,*,#19926,.T.); +#15590=ORIENTED_EDGE('',*,*,#19927,.T.); +#15591=EDGE_LOOP('',(#15587,#15588,#15589,#15590)); +#15592=FACE_OUTER_BOUND('',#15591,.F.); +#15593=ADVANCED_FACE('',(#15592),#15586,.F.); +#15594=CARTESIAN_POINT('',(-1.905E1,-7.175E-1,-1.9E-1)); +#15595=AXIS2_PLACEMENT_3D('',#15594,#12442,#76); +#15596=PLANE('',#15595); +#15597=ORIENTED_EDGE('',*,*,#17851,.F.); +#15598=ORIENTED_EDGE('',*,*,#19928,.F.); +#15599=ORIENTED_EDGE('',*,*,#19929,.T.); +#15600=ORIENTED_EDGE('',*,*,#19925,.T.); +#15601=EDGE_LOOP('',(#15597,#15598,#15599,#15600)); +#15602=FACE_OUTER_BOUND('',#15601,.F.); +#15603=ADVANCED_FACE('',(#15602),#15596,.F.); +#15604=CARTESIAN_POINT('',(-1.8495E1,-1.27E0,-1.9E-1)); +#15605=AXIS2_PLACEMENT_3D('',#15604,#12453,#80); +#15606=PLANE('',#15605); +#15607=ORIENTED_EDGE('',*,*,#17850,.F.); +#15608=ORIENTED_EDGE('',*,*,#19930,.F.); +#15609=ORIENTED_EDGE('',*,*,#19931,.T.); +#15610=ORIENTED_EDGE('',*,*,#19928,.T.); +#15611=EDGE_LOOP('',(#15607,#15608,#15609,#15610)); +#15612=FACE_OUTER_BOUND('',#15611,.F.); +#15613=ADVANCED_FACE('',(#15612),#15606,.F.); +#15614=CARTESIAN_POINT('',(-1.905E1,-1.8225E0,-1.9E-1)); +#15615=AXIS2_PLACEMENT_3D('',#15614,#12464,#84); +#15616=PLANE('',#15615); +#15617=ORIENTED_EDGE('',*,*,#17849,.F.); +#15618=ORIENTED_EDGE('',*,*,#19927,.F.); +#15619=ORIENTED_EDGE('',*,*,#19932,.T.); +#15620=ORIENTED_EDGE('',*,*,#19930,.T.); +#15621=EDGE_LOOP('',(#15617,#15618,#15619,#15620)); +#15622=FACE_OUTER_BOUND('',#15621,.F.); +#15623=ADVANCED_FACE('',(#15622),#15616,.F.); +#15624=CARTESIAN_POINT('',(2.032E1,-5.08E0,-3.8E-1)); +#15625=AXIS2_PLACEMENT_3D('',#15624,#2100,#84); +#15626=PLANE('',#15625); +#15627=ORIENTED_EDGE('',*,*,#19926,.F.); +#15628=ORIENTED_EDGE('',*,*,#19929,.F.); +#15629=ORIENTED_EDGE('',*,*,#19931,.F.); +#15630=ORIENTED_EDGE('',*,*,#19932,.F.); +#15631=EDGE_LOOP('',(#15627,#15628,#15629,#15630)); +#15632=FACE_OUTER_BOUND('',#15631,.F.); +#15633=ADVANCED_FACE('',(#15632),#15626,.T.); +#15634=CARTESIAN_POINT('',(-1.7065E1,-1.27E0,-1.9E-1)); +#15635=AXIS2_PLACEMENT_3D('',#15634,#12431,#72); +#15636=PLANE('',#15635); +#15637=ORIENTED_EDGE('',*,*,#17852,.F.); +#15638=ORIENTED_EDGE('',*,*,#19933,.F.); +#15639=ORIENTED_EDGE('',*,*,#19934,.T.); +#15640=ORIENTED_EDGE('',*,*,#19935,.T.); +#15641=EDGE_LOOP('',(#15637,#15638,#15639,#15640)); +#15642=FACE_OUTER_BOUND('',#15641,.F.); +#15643=ADVANCED_FACE('',(#15642),#15636,.F.); +#15644=CARTESIAN_POINT('',(-1.651E1,-7.175E-1,-1.9E-1)); +#15645=AXIS2_PLACEMENT_3D('',#15644,#12442,#76); +#15646=PLANE('',#15645); +#15647=ORIENTED_EDGE('',*,*,#17855,.F.); +#15648=ORIENTED_EDGE('',*,*,#19936,.F.); +#15649=ORIENTED_EDGE('',*,*,#19937,.T.); +#15650=ORIENTED_EDGE('',*,*,#19933,.T.); +#15651=EDGE_LOOP('',(#15647,#15648,#15649,#15650)); +#15652=FACE_OUTER_BOUND('',#15651,.F.); +#15653=ADVANCED_FACE('',(#15652),#15646,.F.); +#15654=CARTESIAN_POINT('',(-1.5955E1,-1.27E0,-1.9E-1)); +#15655=AXIS2_PLACEMENT_3D('',#15654,#12453,#80); +#15656=PLANE('',#15655); +#15657=ORIENTED_EDGE('',*,*,#17854,.F.); +#15658=ORIENTED_EDGE('',*,*,#19938,.F.); +#15659=ORIENTED_EDGE('',*,*,#19939,.T.); +#15660=ORIENTED_EDGE('',*,*,#19936,.T.); +#15661=EDGE_LOOP('',(#15657,#15658,#15659,#15660)); +#15662=FACE_OUTER_BOUND('',#15661,.F.); +#15663=ADVANCED_FACE('',(#15662),#15656,.F.); +#15664=CARTESIAN_POINT('',(-1.651E1,-1.8225E0,-1.9E-1)); +#15665=AXIS2_PLACEMENT_3D('',#15664,#12464,#84); +#15666=PLANE('',#15665); +#15667=ORIENTED_EDGE('',*,*,#17853,.F.); +#15668=ORIENTED_EDGE('',*,*,#19935,.F.); +#15669=ORIENTED_EDGE('',*,*,#19940,.T.); +#15670=ORIENTED_EDGE('',*,*,#19938,.T.); +#15671=EDGE_LOOP('',(#15667,#15668,#15669,#15670)); +#15672=FACE_OUTER_BOUND('',#15671,.F.); +#15673=ADVANCED_FACE('',(#15672),#15666,.F.); +#15674=CARTESIAN_POINT('',(2.286E1,-5.08E0,-3.8E-1)); +#15675=AXIS2_PLACEMENT_3D('',#15674,#2100,#84); +#15676=PLANE('',#15675); +#15677=ORIENTED_EDGE('',*,*,#19934,.F.); +#15678=ORIENTED_EDGE('',*,*,#19937,.F.); +#15679=ORIENTED_EDGE('',*,*,#19939,.F.); +#15680=ORIENTED_EDGE('',*,*,#19940,.F.); +#15681=EDGE_LOOP('',(#15677,#15678,#15679,#15680)); +#15682=FACE_OUTER_BOUND('',#15681,.F.); +#15683=ADVANCED_FACE('',(#15682),#15676,.T.); +#15684=CARTESIAN_POINT('',(-1.4525E1,-1.27E0,-1.9E-1)); +#15685=AXIS2_PLACEMENT_3D('',#15684,#12431,#72); +#15686=PLANE('',#15685); +#15687=ORIENTED_EDGE('',*,*,#17856,.F.); +#15688=ORIENTED_EDGE('',*,*,#19941,.F.); +#15689=ORIENTED_EDGE('',*,*,#19942,.T.); +#15690=ORIENTED_EDGE('',*,*,#19943,.T.); +#15691=EDGE_LOOP('',(#15687,#15688,#15689,#15690)); +#15692=FACE_OUTER_BOUND('',#15691,.F.); +#15693=ADVANCED_FACE('',(#15692),#15686,.F.); +#15694=CARTESIAN_POINT('',(-1.397E1,-7.175E-1,-1.9E-1)); +#15695=AXIS2_PLACEMENT_3D('',#15694,#12442,#76); +#15696=PLANE('',#15695); +#15697=ORIENTED_EDGE('',*,*,#17859,.F.); +#15698=ORIENTED_EDGE('',*,*,#19944,.F.); +#15699=ORIENTED_EDGE('',*,*,#19945,.T.); +#15700=ORIENTED_EDGE('',*,*,#19941,.T.); +#15701=EDGE_LOOP('',(#15697,#15698,#15699,#15700)); +#15702=FACE_OUTER_BOUND('',#15701,.F.); +#15703=ADVANCED_FACE('',(#15702),#15696,.F.); +#15704=CARTESIAN_POINT('',(-1.3415E1,-1.27E0,-1.9E-1)); +#15705=AXIS2_PLACEMENT_3D('',#15704,#12453,#80); +#15706=PLANE('',#15705); +#15707=ORIENTED_EDGE('',*,*,#17858,.F.); +#15708=ORIENTED_EDGE('',*,*,#19946,.F.); +#15709=ORIENTED_EDGE('',*,*,#19947,.T.); +#15710=ORIENTED_EDGE('',*,*,#19944,.T.); +#15711=EDGE_LOOP('',(#15707,#15708,#15709,#15710)); +#15712=FACE_OUTER_BOUND('',#15711,.F.); +#15713=ADVANCED_FACE('',(#15712),#15706,.F.); +#15714=CARTESIAN_POINT('',(-1.397E1,-1.8225E0,-1.9E-1)); +#15715=AXIS2_PLACEMENT_3D('',#15714,#12464,#84); +#15716=PLANE('',#15715); +#15717=ORIENTED_EDGE('',*,*,#17857,.F.); +#15718=ORIENTED_EDGE('',*,*,#19943,.F.); +#15719=ORIENTED_EDGE('',*,*,#19948,.T.); +#15720=ORIENTED_EDGE('',*,*,#19946,.T.); +#15721=EDGE_LOOP('',(#15717,#15718,#15719,#15720)); +#15722=FACE_OUTER_BOUND('',#15721,.F.); +#15723=ADVANCED_FACE('',(#15722),#15716,.F.); +#15724=CARTESIAN_POINT('',(2.54E1,-5.08E0,-3.8E-1)); +#15725=AXIS2_PLACEMENT_3D('',#15724,#2100,#84); +#15726=PLANE('',#15725); +#15727=ORIENTED_EDGE('',*,*,#19942,.F.); +#15728=ORIENTED_EDGE('',*,*,#19945,.F.); +#15729=ORIENTED_EDGE('',*,*,#19947,.F.); +#15730=ORIENTED_EDGE('',*,*,#19948,.F.); +#15731=EDGE_LOOP('',(#15727,#15728,#15729,#15730)); +#15732=FACE_OUTER_BOUND('',#15731,.F.); +#15733=ADVANCED_FACE('',(#15732),#15726,.T.); +#15734=CARTESIAN_POINT('',(-1.1985E1,-1.27E0,-1.9E-1)); +#15735=AXIS2_PLACEMENT_3D('',#15734,#12431,#72); +#15736=PLANE('',#15735); +#15737=ORIENTED_EDGE('',*,*,#17860,.F.); +#15738=ORIENTED_EDGE('',*,*,#19949,.F.); +#15739=ORIENTED_EDGE('',*,*,#19950,.T.); +#15740=ORIENTED_EDGE('',*,*,#19951,.T.); +#15741=EDGE_LOOP('',(#15737,#15738,#15739,#15740)); +#15742=FACE_OUTER_BOUND('',#15741,.F.); +#15743=ADVANCED_FACE('',(#15742),#15736,.F.); +#15744=CARTESIAN_POINT('',(-1.143E1,-7.175E-1,-1.9E-1)); +#15745=AXIS2_PLACEMENT_3D('',#15744,#12442,#76); +#15746=PLANE('',#15745); +#15747=ORIENTED_EDGE('',*,*,#17863,.F.); +#15748=ORIENTED_EDGE('',*,*,#19952,.F.); +#15749=ORIENTED_EDGE('',*,*,#19953,.T.); +#15750=ORIENTED_EDGE('',*,*,#19949,.T.); +#15751=EDGE_LOOP('',(#15747,#15748,#15749,#15750)); +#15752=FACE_OUTER_BOUND('',#15751,.F.); +#15753=ADVANCED_FACE('',(#15752),#15746,.F.); +#15754=CARTESIAN_POINT('',(-1.0875E1,-1.27E0,-1.9E-1)); +#15755=AXIS2_PLACEMENT_3D('',#15754,#12453,#80); +#15756=PLANE('',#15755); +#15757=ORIENTED_EDGE('',*,*,#17862,.F.); +#15758=ORIENTED_EDGE('',*,*,#19954,.F.); +#15759=ORIENTED_EDGE('',*,*,#19955,.T.); +#15760=ORIENTED_EDGE('',*,*,#19952,.T.); +#15761=EDGE_LOOP('',(#15757,#15758,#15759,#15760)); +#15762=FACE_OUTER_BOUND('',#15761,.F.); +#15763=ADVANCED_FACE('',(#15762),#15756,.F.); +#15764=CARTESIAN_POINT('',(-1.143E1,-1.8225E0,-1.9E-1)); +#15765=AXIS2_PLACEMENT_3D('',#15764,#12464,#84); +#15766=PLANE('',#15765); +#15767=ORIENTED_EDGE('',*,*,#17861,.F.); +#15768=ORIENTED_EDGE('',*,*,#19951,.F.); +#15769=ORIENTED_EDGE('',*,*,#19956,.T.); +#15770=ORIENTED_EDGE('',*,*,#19954,.T.); +#15771=EDGE_LOOP('',(#15767,#15768,#15769,#15770)); +#15772=FACE_OUTER_BOUND('',#15771,.F.); +#15773=ADVANCED_FACE('',(#15772),#15766,.F.); +#15774=CARTESIAN_POINT('',(2.794E1,-5.08E0,-3.8E-1)); +#15775=AXIS2_PLACEMENT_3D('',#15774,#2100,#84); +#15776=PLANE('',#15775); +#15777=ORIENTED_EDGE('',*,*,#19950,.F.); +#15778=ORIENTED_EDGE('',*,*,#19953,.F.); +#15779=ORIENTED_EDGE('',*,*,#19955,.F.); +#15780=ORIENTED_EDGE('',*,*,#19956,.F.); +#15781=EDGE_LOOP('',(#15777,#15778,#15779,#15780)); +#15782=FACE_OUTER_BOUND('',#15781,.F.); +#15783=ADVANCED_FACE('',(#15782),#15776,.T.); +#15784=CARTESIAN_POINT('',(-9.445E0,-1.27E0,-1.9E-1)); +#15785=AXIS2_PLACEMENT_3D('',#15784,#12431,#72); +#15786=PLANE('',#15785); +#15787=ORIENTED_EDGE('',*,*,#17864,.F.); +#15788=ORIENTED_EDGE('',*,*,#19957,.F.); +#15789=ORIENTED_EDGE('',*,*,#19958,.T.); +#15790=ORIENTED_EDGE('',*,*,#19959,.T.); +#15791=EDGE_LOOP('',(#15787,#15788,#15789,#15790)); +#15792=FACE_OUTER_BOUND('',#15791,.F.); +#15793=ADVANCED_FACE('',(#15792),#15786,.F.); +#15794=CARTESIAN_POINT('',(-8.89E0,-7.175E-1,-1.9E-1)); +#15795=AXIS2_PLACEMENT_3D('',#15794,#12442,#76); +#15796=PLANE('',#15795); +#15797=ORIENTED_EDGE('',*,*,#17867,.F.); +#15798=ORIENTED_EDGE('',*,*,#19960,.F.); +#15799=ORIENTED_EDGE('',*,*,#19961,.T.); +#15800=ORIENTED_EDGE('',*,*,#19957,.T.); +#15801=EDGE_LOOP('',(#15797,#15798,#15799,#15800)); +#15802=FACE_OUTER_BOUND('',#15801,.F.); +#15803=ADVANCED_FACE('',(#15802),#15796,.F.); +#15804=CARTESIAN_POINT('',(-8.335E0,-1.27E0,-1.9E-1)); +#15805=AXIS2_PLACEMENT_3D('',#15804,#12453,#80); +#15806=PLANE('',#15805); +#15807=ORIENTED_EDGE('',*,*,#17866,.F.); +#15808=ORIENTED_EDGE('',*,*,#19962,.F.); +#15809=ORIENTED_EDGE('',*,*,#19963,.T.); +#15810=ORIENTED_EDGE('',*,*,#19960,.T.); +#15811=EDGE_LOOP('',(#15807,#15808,#15809,#15810)); +#15812=FACE_OUTER_BOUND('',#15811,.F.); +#15813=ADVANCED_FACE('',(#15812),#15806,.F.); +#15814=CARTESIAN_POINT('',(-8.89E0,-1.8225E0,-1.9E-1)); +#15815=AXIS2_PLACEMENT_3D('',#15814,#12464,#84); +#15816=PLANE('',#15815); +#15817=ORIENTED_EDGE('',*,*,#17865,.F.); +#15818=ORIENTED_EDGE('',*,*,#19959,.F.); +#15819=ORIENTED_EDGE('',*,*,#19964,.T.); +#15820=ORIENTED_EDGE('',*,*,#19962,.T.); +#15821=EDGE_LOOP('',(#15817,#15818,#15819,#15820)); +#15822=FACE_OUTER_BOUND('',#15821,.F.); +#15823=ADVANCED_FACE('',(#15822),#15816,.F.); +#15824=CARTESIAN_POINT('',(3.048E1,-5.08E0,-3.8E-1)); +#15825=AXIS2_PLACEMENT_3D('',#15824,#2100,#84); +#15826=PLANE('',#15825); +#15827=ORIENTED_EDGE('',*,*,#19958,.F.); +#15828=ORIENTED_EDGE('',*,*,#19961,.F.); +#15829=ORIENTED_EDGE('',*,*,#19963,.F.); +#15830=ORIENTED_EDGE('',*,*,#19964,.F.); +#15831=EDGE_LOOP('',(#15827,#15828,#15829,#15830)); +#15832=FACE_OUTER_BOUND('',#15831,.F.); +#15833=ADVANCED_FACE('',(#15832),#15826,.T.); +#15834=CARTESIAN_POINT('',(-6.905E0,-1.27E0,-1.9E-1)); +#15835=AXIS2_PLACEMENT_3D('',#15834,#12431,#72); +#15836=PLANE('',#15835); +#15837=ORIENTED_EDGE('',*,*,#17868,.F.); +#15838=ORIENTED_EDGE('',*,*,#19965,.F.); +#15839=ORIENTED_EDGE('',*,*,#19966,.T.); +#15840=ORIENTED_EDGE('',*,*,#19967,.T.); +#15841=EDGE_LOOP('',(#15837,#15838,#15839,#15840)); +#15842=FACE_OUTER_BOUND('',#15841,.F.); +#15843=ADVANCED_FACE('',(#15842),#15836,.F.); +#15844=CARTESIAN_POINT('',(-6.35E0,-7.175E-1,-1.9E-1)); +#15845=AXIS2_PLACEMENT_3D('',#15844,#12442,#76); +#15846=PLANE('',#15845); +#15847=ORIENTED_EDGE('',*,*,#17871,.F.); +#15848=ORIENTED_EDGE('',*,*,#19968,.F.); +#15849=ORIENTED_EDGE('',*,*,#19969,.T.); +#15850=ORIENTED_EDGE('',*,*,#19965,.T.); +#15851=EDGE_LOOP('',(#15847,#15848,#15849,#15850)); +#15852=FACE_OUTER_BOUND('',#15851,.F.); +#15853=ADVANCED_FACE('',(#15852),#15846,.F.); +#15854=CARTESIAN_POINT('',(-5.795E0,-1.27E0,-1.9E-1)); +#15855=AXIS2_PLACEMENT_3D('',#15854,#12453,#80); +#15856=PLANE('',#15855); +#15857=ORIENTED_EDGE('',*,*,#17870,.F.); +#15858=ORIENTED_EDGE('',*,*,#19970,.F.); +#15859=ORIENTED_EDGE('',*,*,#19971,.T.); +#15860=ORIENTED_EDGE('',*,*,#19968,.T.); +#15861=EDGE_LOOP('',(#15857,#15858,#15859,#15860)); +#15862=FACE_OUTER_BOUND('',#15861,.F.); +#15863=ADVANCED_FACE('',(#15862),#15856,.F.); +#15864=CARTESIAN_POINT('',(-6.35E0,-1.8225E0,-1.9E-1)); +#15865=AXIS2_PLACEMENT_3D('',#15864,#12464,#84); +#15866=PLANE('',#15865); +#15867=ORIENTED_EDGE('',*,*,#17869,.F.); +#15868=ORIENTED_EDGE('',*,*,#19967,.F.); +#15869=ORIENTED_EDGE('',*,*,#19972,.T.); +#15870=ORIENTED_EDGE('',*,*,#19970,.T.); +#15871=EDGE_LOOP('',(#15867,#15868,#15869,#15870)); +#15872=FACE_OUTER_BOUND('',#15871,.F.); +#15873=ADVANCED_FACE('',(#15872),#15866,.F.); +#15874=CARTESIAN_POINT('',(3.302E1,-5.08E0,-3.8E-1)); +#15875=AXIS2_PLACEMENT_3D('',#15874,#2100,#84); +#15876=PLANE('',#15875); +#15877=ORIENTED_EDGE('',*,*,#19966,.F.); +#15878=ORIENTED_EDGE('',*,*,#19969,.F.); +#15879=ORIENTED_EDGE('',*,*,#19971,.F.); +#15880=ORIENTED_EDGE('',*,*,#19972,.F.); +#15881=EDGE_LOOP('',(#15877,#15878,#15879,#15880)); +#15882=FACE_OUTER_BOUND('',#15881,.F.); +#15883=ADVANCED_FACE('',(#15882),#15876,.T.); +#15884=CARTESIAN_POINT('',(-4.365E0,-1.27E0,-1.9E-1)); +#15885=AXIS2_PLACEMENT_3D('',#15884,#12431,#72); +#15886=PLANE('',#15885); +#15887=ORIENTED_EDGE('',*,*,#17872,.F.); +#15888=ORIENTED_EDGE('',*,*,#19973,.F.); +#15889=ORIENTED_EDGE('',*,*,#19974,.T.); +#15890=ORIENTED_EDGE('',*,*,#19975,.T.); +#15891=EDGE_LOOP('',(#15887,#15888,#15889,#15890)); +#15892=FACE_OUTER_BOUND('',#15891,.F.); +#15893=ADVANCED_FACE('',(#15892),#15886,.F.); +#15894=CARTESIAN_POINT('',(-3.81E0,-7.175E-1,-1.9E-1)); +#15895=AXIS2_PLACEMENT_3D('',#15894,#12442,#76); +#15896=PLANE('',#15895); +#15897=ORIENTED_EDGE('',*,*,#17875,.F.); +#15898=ORIENTED_EDGE('',*,*,#19976,.F.); +#15899=ORIENTED_EDGE('',*,*,#19977,.T.); +#15900=ORIENTED_EDGE('',*,*,#19973,.T.); +#15901=EDGE_LOOP('',(#15897,#15898,#15899,#15900)); +#15902=FACE_OUTER_BOUND('',#15901,.F.); +#15903=ADVANCED_FACE('',(#15902),#15896,.F.); +#15904=CARTESIAN_POINT('',(-3.255E0,-1.27E0,-1.9E-1)); +#15905=AXIS2_PLACEMENT_3D('',#15904,#12453,#80); +#15906=PLANE('',#15905); +#15907=ORIENTED_EDGE('',*,*,#17874,.F.); +#15908=ORIENTED_EDGE('',*,*,#19978,.F.); +#15909=ORIENTED_EDGE('',*,*,#19979,.T.); +#15910=ORIENTED_EDGE('',*,*,#19976,.T.); +#15911=EDGE_LOOP('',(#15907,#15908,#15909,#15910)); +#15912=FACE_OUTER_BOUND('',#15911,.F.); +#15913=ADVANCED_FACE('',(#15912),#15906,.F.); +#15914=CARTESIAN_POINT('',(-3.81E0,-1.8225E0,-1.9E-1)); +#15915=AXIS2_PLACEMENT_3D('',#15914,#12464,#84); +#15916=PLANE('',#15915); +#15917=ORIENTED_EDGE('',*,*,#17873,.F.); +#15918=ORIENTED_EDGE('',*,*,#19975,.F.); +#15919=ORIENTED_EDGE('',*,*,#19980,.T.); +#15920=ORIENTED_EDGE('',*,*,#19978,.T.); +#15921=EDGE_LOOP('',(#15917,#15918,#15919,#15920)); +#15922=FACE_OUTER_BOUND('',#15921,.F.); +#15923=ADVANCED_FACE('',(#15922),#15916,.F.); +#15924=CARTESIAN_POINT('',(3.556E1,-5.08E0,-3.8E-1)); +#15925=AXIS2_PLACEMENT_3D('',#15924,#2100,#84); +#15926=PLANE('',#15925); +#15927=ORIENTED_EDGE('',*,*,#19974,.F.); +#15928=ORIENTED_EDGE('',*,*,#19977,.F.); +#15929=ORIENTED_EDGE('',*,*,#19979,.F.); +#15930=ORIENTED_EDGE('',*,*,#19980,.F.); +#15931=EDGE_LOOP('',(#15927,#15928,#15929,#15930)); +#15932=FACE_OUTER_BOUND('',#15931,.F.); +#15933=ADVANCED_FACE('',(#15932),#15926,.T.); +#15934=CARTESIAN_POINT('',(-1.825E0,-1.27E0,-1.9E-1)); +#15935=AXIS2_PLACEMENT_3D('',#15934,#12431,#72); +#15936=PLANE('',#15935); +#15937=ORIENTED_EDGE('',*,*,#17876,.F.); +#15938=ORIENTED_EDGE('',*,*,#19981,.F.); +#15939=ORIENTED_EDGE('',*,*,#19982,.T.); +#15940=ORIENTED_EDGE('',*,*,#19983,.T.); +#15941=EDGE_LOOP('',(#15937,#15938,#15939,#15940)); +#15942=FACE_OUTER_BOUND('',#15941,.F.); +#15943=ADVANCED_FACE('',(#15942),#15936,.F.); +#15944=CARTESIAN_POINT('',(-1.27E0,-7.175E-1,-1.9E-1)); +#15945=AXIS2_PLACEMENT_3D('',#15944,#12442,#76); +#15946=PLANE('',#15945); +#15947=ORIENTED_EDGE('',*,*,#17879,.F.); +#15948=ORIENTED_EDGE('',*,*,#19984,.F.); +#15949=ORIENTED_EDGE('',*,*,#19985,.T.); +#15950=ORIENTED_EDGE('',*,*,#19981,.T.); +#15951=EDGE_LOOP('',(#15947,#15948,#15949,#15950)); +#15952=FACE_OUTER_BOUND('',#15951,.F.); +#15953=ADVANCED_FACE('',(#15952),#15946,.F.); +#15954=CARTESIAN_POINT('',(-7.15E-1,-1.27E0,-1.9E-1)); +#15955=AXIS2_PLACEMENT_3D('',#15954,#12453,#80); +#15956=PLANE('',#15955); +#15957=ORIENTED_EDGE('',*,*,#17878,.F.); +#15958=ORIENTED_EDGE('',*,*,#19986,.F.); +#15959=ORIENTED_EDGE('',*,*,#19987,.T.); +#15960=ORIENTED_EDGE('',*,*,#19984,.T.); +#15961=EDGE_LOOP('',(#15957,#15958,#15959,#15960)); +#15962=FACE_OUTER_BOUND('',#15961,.F.); +#15963=ADVANCED_FACE('',(#15962),#15956,.F.); +#15964=CARTESIAN_POINT('',(-1.27E0,-1.8225E0,-1.9E-1)); +#15965=AXIS2_PLACEMENT_3D('',#15964,#12464,#84); +#15966=PLANE('',#15965); +#15967=ORIENTED_EDGE('',*,*,#17877,.F.); +#15968=ORIENTED_EDGE('',*,*,#19983,.F.); +#15969=ORIENTED_EDGE('',*,*,#19988,.T.); +#15970=ORIENTED_EDGE('',*,*,#19986,.T.); +#15971=EDGE_LOOP('',(#15967,#15968,#15969,#15970)); +#15972=FACE_OUTER_BOUND('',#15971,.F.); +#15973=ADVANCED_FACE('',(#15972),#15966,.F.); +#15974=CARTESIAN_POINT('',(3.81E1,-5.08E0,-3.8E-1)); +#15975=AXIS2_PLACEMENT_3D('',#15974,#2100,#84); +#15976=PLANE('',#15975); +#15977=ORIENTED_EDGE('',*,*,#19982,.F.); +#15978=ORIENTED_EDGE('',*,*,#19985,.F.); +#15979=ORIENTED_EDGE('',*,*,#19987,.F.); +#15980=ORIENTED_EDGE('',*,*,#19988,.F.); +#15981=EDGE_LOOP('',(#15977,#15978,#15979,#15980)); +#15982=FACE_OUTER_BOUND('',#15981,.F.); +#15983=ADVANCED_FACE('',(#15982),#15976,.T.); +#15984=CARTESIAN_POINT('',(7.15E-1,-1.27E0,-1.9E-1)); +#15985=AXIS2_PLACEMENT_3D('',#15984,#12431,#72); +#15986=PLANE('',#15985); +#15987=ORIENTED_EDGE('',*,*,#17880,.F.); +#15988=ORIENTED_EDGE('',*,*,#19989,.F.); +#15989=ORIENTED_EDGE('',*,*,#19990,.T.); +#15990=ORIENTED_EDGE('',*,*,#19991,.T.); +#15991=EDGE_LOOP('',(#15987,#15988,#15989,#15990)); +#15992=FACE_OUTER_BOUND('',#15991,.F.); +#15993=ADVANCED_FACE('',(#15992),#15986,.F.); +#15994=CARTESIAN_POINT('',(1.27E0,-7.175E-1,-1.9E-1)); +#15995=AXIS2_PLACEMENT_3D('',#15994,#12442,#76); +#15996=PLANE('',#15995); +#15997=ORIENTED_EDGE('',*,*,#17883,.F.); +#15998=ORIENTED_EDGE('',*,*,#19992,.F.); +#15999=ORIENTED_EDGE('',*,*,#19993,.T.); +#16000=ORIENTED_EDGE('',*,*,#19989,.T.); +#16001=EDGE_LOOP('',(#15997,#15998,#15999,#16000)); +#16002=FACE_OUTER_BOUND('',#16001,.F.); +#16003=ADVANCED_FACE('',(#16002),#15996,.F.); +#16004=CARTESIAN_POINT('',(1.825E0,-1.27E0,-1.9E-1)); +#16005=AXIS2_PLACEMENT_3D('',#16004,#12453,#80); +#16006=PLANE('',#16005); +#16007=ORIENTED_EDGE('',*,*,#17882,.F.); +#16008=ORIENTED_EDGE('',*,*,#19994,.F.); +#16009=ORIENTED_EDGE('',*,*,#19995,.T.); +#16010=ORIENTED_EDGE('',*,*,#19992,.T.); +#16011=EDGE_LOOP('',(#16007,#16008,#16009,#16010)); +#16012=FACE_OUTER_BOUND('',#16011,.F.); +#16013=ADVANCED_FACE('',(#16012),#16006,.F.); +#16014=CARTESIAN_POINT('',(1.27E0,-1.8225E0,-1.9E-1)); +#16015=AXIS2_PLACEMENT_3D('',#16014,#12464,#84); +#16016=PLANE('',#16015); +#16017=ORIENTED_EDGE('',*,*,#17881,.F.); +#16018=ORIENTED_EDGE('',*,*,#19991,.F.); +#16019=ORIENTED_EDGE('',*,*,#19996,.T.); +#16020=ORIENTED_EDGE('',*,*,#19994,.T.); +#16021=EDGE_LOOP('',(#16017,#16018,#16019,#16020)); +#16022=FACE_OUTER_BOUND('',#16021,.F.); +#16023=ADVANCED_FACE('',(#16022),#16016,.F.); +#16024=CARTESIAN_POINT('',(4.064E1,-5.08E0,-3.8E-1)); +#16025=AXIS2_PLACEMENT_3D('',#16024,#2100,#84); +#16026=PLANE('',#16025); +#16027=ORIENTED_EDGE('',*,*,#19990,.F.); +#16028=ORIENTED_EDGE('',*,*,#19993,.F.); +#16029=ORIENTED_EDGE('',*,*,#19995,.F.); +#16030=ORIENTED_EDGE('',*,*,#19996,.F.); +#16031=EDGE_LOOP('',(#16027,#16028,#16029,#16030)); +#16032=FACE_OUTER_BOUND('',#16031,.F.); +#16033=ADVANCED_FACE('',(#16032),#16026,.T.); +#16034=CARTESIAN_POINT('',(3.255E0,-1.27E0,-1.9E-1)); +#16035=AXIS2_PLACEMENT_3D('',#16034,#12431,#72); +#16036=PLANE('',#16035); +#16037=ORIENTED_EDGE('',*,*,#17884,.F.); +#16038=ORIENTED_EDGE('',*,*,#19997,.F.); +#16039=ORIENTED_EDGE('',*,*,#19998,.T.); +#16040=ORIENTED_EDGE('',*,*,#19999,.T.); +#16041=EDGE_LOOP('',(#16037,#16038,#16039,#16040)); +#16042=FACE_OUTER_BOUND('',#16041,.F.); +#16043=ADVANCED_FACE('',(#16042),#16036,.F.); +#16044=CARTESIAN_POINT('',(3.81E0,-7.175E-1,-1.9E-1)); +#16045=AXIS2_PLACEMENT_3D('',#16044,#12442,#76); +#16046=PLANE('',#16045); +#16047=ORIENTED_EDGE('',*,*,#17887,.F.); +#16048=ORIENTED_EDGE('',*,*,#20000,.F.); +#16049=ORIENTED_EDGE('',*,*,#20001,.T.); +#16050=ORIENTED_EDGE('',*,*,#19997,.T.); +#16051=EDGE_LOOP('',(#16047,#16048,#16049,#16050)); +#16052=FACE_OUTER_BOUND('',#16051,.F.); +#16053=ADVANCED_FACE('',(#16052),#16046,.F.); +#16054=CARTESIAN_POINT('',(4.365E0,-1.27E0,-1.9E-1)); +#16055=AXIS2_PLACEMENT_3D('',#16054,#12453,#80); +#16056=PLANE('',#16055); +#16057=ORIENTED_EDGE('',*,*,#17886,.F.); +#16058=ORIENTED_EDGE('',*,*,#20002,.F.); +#16059=ORIENTED_EDGE('',*,*,#20003,.T.); +#16060=ORIENTED_EDGE('',*,*,#20000,.T.); +#16061=EDGE_LOOP('',(#16057,#16058,#16059,#16060)); +#16062=FACE_OUTER_BOUND('',#16061,.F.); +#16063=ADVANCED_FACE('',(#16062),#16056,.F.); +#16064=CARTESIAN_POINT('',(3.81E0,-1.8225E0,-1.9E-1)); +#16065=AXIS2_PLACEMENT_3D('',#16064,#12464,#84); +#16066=PLANE('',#16065); +#16067=ORIENTED_EDGE('',*,*,#17885,.F.); +#16068=ORIENTED_EDGE('',*,*,#19999,.F.); +#16069=ORIENTED_EDGE('',*,*,#20004,.T.); +#16070=ORIENTED_EDGE('',*,*,#20002,.T.); +#16071=EDGE_LOOP('',(#16067,#16068,#16069,#16070)); +#16072=FACE_OUTER_BOUND('',#16071,.F.); +#16073=ADVANCED_FACE('',(#16072),#16066,.F.); +#16074=CARTESIAN_POINT('',(4.318E1,-5.08E0,-3.8E-1)); +#16075=AXIS2_PLACEMENT_3D('',#16074,#2100,#84); +#16076=PLANE('',#16075); +#16077=ORIENTED_EDGE('',*,*,#19998,.F.); +#16078=ORIENTED_EDGE('',*,*,#20001,.F.); +#16079=ORIENTED_EDGE('',*,*,#20003,.F.); +#16080=ORIENTED_EDGE('',*,*,#20004,.F.); +#16081=EDGE_LOOP('',(#16077,#16078,#16079,#16080)); +#16082=FACE_OUTER_BOUND('',#16081,.F.); +#16083=ADVANCED_FACE('',(#16082),#16076,.T.); +#16084=CARTESIAN_POINT('',(5.795E0,-1.27E0,-1.9E-1)); +#16085=AXIS2_PLACEMENT_3D('',#16084,#12431,#72); +#16086=PLANE('',#16085); +#16087=ORIENTED_EDGE('',*,*,#17888,.F.); +#16088=ORIENTED_EDGE('',*,*,#20005,.F.); +#16089=ORIENTED_EDGE('',*,*,#20006,.T.); +#16090=ORIENTED_EDGE('',*,*,#20007,.T.); +#16091=EDGE_LOOP('',(#16087,#16088,#16089,#16090)); +#16092=FACE_OUTER_BOUND('',#16091,.F.); +#16093=ADVANCED_FACE('',(#16092),#16086,.F.); +#16094=CARTESIAN_POINT('',(6.35E0,-7.175E-1,-1.9E-1)); +#16095=AXIS2_PLACEMENT_3D('',#16094,#12442,#76); +#16096=PLANE('',#16095); +#16097=ORIENTED_EDGE('',*,*,#17891,.F.); +#16098=ORIENTED_EDGE('',*,*,#20008,.F.); +#16099=ORIENTED_EDGE('',*,*,#20009,.T.); +#16100=ORIENTED_EDGE('',*,*,#20005,.T.); +#16101=EDGE_LOOP('',(#16097,#16098,#16099,#16100)); +#16102=FACE_OUTER_BOUND('',#16101,.F.); +#16103=ADVANCED_FACE('',(#16102),#16096,.F.); +#16104=CARTESIAN_POINT('',(6.905E0,-1.27E0,-1.9E-1)); +#16105=AXIS2_PLACEMENT_3D('',#16104,#12453,#80); +#16106=PLANE('',#16105); +#16107=ORIENTED_EDGE('',*,*,#17890,.F.); +#16108=ORIENTED_EDGE('',*,*,#20010,.F.); +#16109=ORIENTED_EDGE('',*,*,#20011,.T.); +#16110=ORIENTED_EDGE('',*,*,#20008,.T.); +#16111=EDGE_LOOP('',(#16107,#16108,#16109,#16110)); +#16112=FACE_OUTER_BOUND('',#16111,.F.); +#16113=ADVANCED_FACE('',(#16112),#16106,.F.); +#16114=CARTESIAN_POINT('',(6.35E0,-1.8225E0,-1.9E-1)); +#16115=AXIS2_PLACEMENT_3D('',#16114,#12464,#84); +#16116=PLANE('',#16115); +#16117=ORIENTED_EDGE('',*,*,#17889,.F.); +#16118=ORIENTED_EDGE('',*,*,#20007,.F.); +#16119=ORIENTED_EDGE('',*,*,#20012,.T.); +#16120=ORIENTED_EDGE('',*,*,#20010,.T.); +#16121=EDGE_LOOP('',(#16117,#16118,#16119,#16120)); +#16122=FACE_OUTER_BOUND('',#16121,.F.); +#16123=ADVANCED_FACE('',(#16122),#16116,.F.); +#16124=CARTESIAN_POINT('',(4.572E1,-5.08E0,-3.8E-1)); +#16125=AXIS2_PLACEMENT_3D('',#16124,#2100,#84); +#16126=PLANE('',#16125); +#16127=ORIENTED_EDGE('',*,*,#20006,.F.); +#16128=ORIENTED_EDGE('',*,*,#20009,.F.); +#16129=ORIENTED_EDGE('',*,*,#20011,.F.); +#16130=ORIENTED_EDGE('',*,*,#20012,.F.); +#16131=EDGE_LOOP('',(#16127,#16128,#16129,#16130)); +#16132=FACE_OUTER_BOUND('',#16131,.F.); +#16133=ADVANCED_FACE('',(#16132),#16126,.T.); +#16134=CARTESIAN_POINT('',(8.335E0,-1.27E0,-1.9E-1)); +#16135=AXIS2_PLACEMENT_3D('',#16134,#12431,#72); +#16136=PLANE('',#16135); +#16137=ORIENTED_EDGE('',*,*,#17892,.F.); +#16138=ORIENTED_EDGE('',*,*,#20013,.F.); +#16139=ORIENTED_EDGE('',*,*,#20014,.T.); +#16140=ORIENTED_EDGE('',*,*,#20015,.T.); +#16141=EDGE_LOOP('',(#16137,#16138,#16139,#16140)); +#16142=FACE_OUTER_BOUND('',#16141,.F.); +#16143=ADVANCED_FACE('',(#16142),#16136,.F.); +#16144=CARTESIAN_POINT('',(8.89E0,-7.175E-1,-1.9E-1)); +#16145=AXIS2_PLACEMENT_3D('',#16144,#12442,#76); +#16146=PLANE('',#16145); +#16147=ORIENTED_EDGE('',*,*,#17895,.F.); +#16148=ORIENTED_EDGE('',*,*,#20016,.F.); +#16149=ORIENTED_EDGE('',*,*,#20017,.T.); +#16150=ORIENTED_EDGE('',*,*,#20013,.T.); +#16151=EDGE_LOOP('',(#16147,#16148,#16149,#16150)); +#16152=FACE_OUTER_BOUND('',#16151,.F.); +#16153=ADVANCED_FACE('',(#16152),#16146,.F.); +#16154=CARTESIAN_POINT('',(9.445E0,-1.27E0,-1.9E-1)); +#16155=AXIS2_PLACEMENT_3D('',#16154,#12453,#80); +#16156=PLANE('',#16155); +#16157=ORIENTED_EDGE('',*,*,#17894,.F.); +#16158=ORIENTED_EDGE('',*,*,#20018,.F.); +#16159=ORIENTED_EDGE('',*,*,#20019,.T.); +#16160=ORIENTED_EDGE('',*,*,#20016,.T.); +#16161=EDGE_LOOP('',(#16157,#16158,#16159,#16160)); +#16162=FACE_OUTER_BOUND('',#16161,.F.); +#16163=ADVANCED_FACE('',(#16162),#16156,.F.); +#16164=CARTESIAN_POINT('',(8.89E0,-1.8225E0,-1.9E-1)); +#16165=AXIS2_PLACEMENT_3D('',#16164,#12464,#84); +#16166=PLANE('',#16165); +#16167=ORIENTED_EDGE('',*,*,#17893,.F.); +#16168=ORIENTED_EDGE('',*,*,#20015,.F.); +#16169=ORIENTED_EDGE('',*,*,#20020,.T.); +#16170=ORIENTED_EDGE('',*,*,#20018,.T.); +#16171=EDGE_LOOP('',(#16167,#16168,#16169,#16170)); +#16172=FACE_OUTER_BOUND('',#16171,.F.); +#16173=ADVANCED_FACE('',(#16172),#16166,.F.); +#16174=CARTESIAN_POINT('',(4.826E1,-5.08E0,-3.8E-1)); +#16175=AXIS2_PLACEMENT_3D('',#16174,#2100,#84); +#16176=PLANE('',#16175); +#16177=ORIENTED_EDGE('',*,*,#20014,.F.); +#16178=ORIENTED_EDGE('',*,*,#20017,.F.); +#16179=ORIENTED_EDGE('',*,*,#20019,.F.); +#16180=ORIENTED_EDGE('',*,*,#20020,.F.); +#16181=EDGE_LOOP('',(#16177,#16178,#16179,#16180)); +#16182=FACE_OUTER_BOUND('',#16181,.F.); +#16183=ADVANCED_FACE('',(#16182),#16176,.T.); +#16184=CARTESIAN_POINT('',(1.0875E1,-1.27E0,-1.9E-1)); +#16185=AXIS2_PLACEMENT_3D('',#16184,#12431,#72); +#16186=PLANE('',#16185); +#16187=ORIENTED_EDGE('',*,*,#17896,.F.); +#16188=ORIENTED_EDGE('',*,*,#20021,.F.); +#16189=ORIENTED_EDGE('',*,*,#20022,.T.); +#16190=ORIENTED_EDGE('',*,*,#20023,.T.); +#16191=EDGE_LOOP('',(#16187,#16188,#16189,#16190)); +#16192=FACE_OUTER_BOUND('',#16191,.F.); +#16193=ADVANCED_FACE('',(#16192),#16186,.F.); +#16194=CARTESIAN_POINT('',(1.143E1,-7.175E-1,-1.9E-1)); +#16195=AXIS2_PLACEMENT_3D('',#16194,#12442,#76); +#16196=PLANE('',#16195); +#16197=ORIENTED_EDGE('',*,*,#17899,.F.); +#16198=ORIENTED_EDGE('',*,*,#20024,.F.); +#16199=ORIENTED_EDGE('',*,*,#20025,.T.); +#16200=ORIENTED_EDGE('',*,*,#20021,.T.); +#16201=EDGE_LOOP('',(#16197,#16198,#16199,#16200)); +#16202=FACE_OUTER_BOUND('',#16201,.F.); +#16203=ADVANCED_FACE('',(#16202),#16196,.F.); +#16204=CARTESIAN_POINT('',(1.1985E1,-1.27E0,-1.9E-1)); +#16205=AXIS2_PLACEMENT_3D('',#16204,#12453,#80); +#16206=PLANE('',#16205); +#16207=ORIENTED_EDGE('',*,*,#17898,.F.); +#16208=ORIENTED_EDGE('',*,*,#20026,.F.); +#16209=ORIENTED_EDGE('',*,*,#20027,.T.); +#16210=ORIENTED_EDGE('',*,*,#20024,.T.); +#16211=EDGE_LOOP('',(#16207,#16208,#16209,#16210)); +#16212=FACE_OUTER_BOUND('',#16211,.F.); +#16213=ADVANCED_FACE('',(#16212),#16206,.F.); +#16214=CARTESIAN_POINT('',(1.143E1,-1.8225E0,-1.9E-1)); +#16215=AXIS2_PLACEMENT_3D('',#16214,#12464,#84); +#16216=PLANE('',#16215); +#16217=ORIENTED_EDGE('',*,*,#17897,.F.); +#16218=ORIENTED_EDGE('',*,*,#20023,.F.); +#16219=ORIENTED_EDGE('',*,*,#20028,.T.); +#16220=ORIENTED_EDGE('',*,*,#20026,.T.); +#16221=EDGE_LOOP('',(#16217,#16218,#16219,#16220)); +#16222=FACE_OUTER_BOUND('',#16221,.F.); +#16223=ADVANCED_FACE('',(#16222),#16216,.F.); +#16224=CARTESIAN_POINT('',(5.08E1,-5.08E0,-3.8E-1)); +#16225=AXIS2_PLACEMENT_3D('',#16224,#2100,#84); +#16226=PLANE('',#16225); +#16227=ORIENTED_EDGE('',*,*,#20022,.F.); +#16228=ORIENTED_EDGE('',*,*,#20025,.F.); +#16229=ORIENTED_EDGE('',*,*,#20027,.F.); +#16230=ORIENTED_EDGE('',*,*,#20028,.F.); +#16231=EDGE_LOOP('',(#16227,#16228,#16229,#16230)); +#16232=FACE_OUTER_BOUND('',#16231,.F.); +#16233=ADVANCED_FACE('',(#16232),#16226,.T.); +#16234=CARTESIAN_POINT('',(1.3415E1,-1.27E0,-1.9E-1)); +#16235=AXIS2_PLACEMENT_3D('',#16234,#12431,#72); +#16236=PLANE('',#16235); +#16237=ORIENTED_EDGE('',*,*,#17900,.F.); +#16238=ORIENTED_EDGE('',*,*,#20029,.F.); +#16239=ORIENTED_EDGE('',*,*,#20030,.T.); +#16240=ORIENTED_EDGE('',*,*,#20031,.T.); +#16241=EDGE_LOOP('',(#16237,#16238,#16239,#16240)); +#16242=FACE_OUTER_BOUND('',#16241,.F.); +#16243=ADVANCED_FACE('',(#16242),#16236,.F.); +#16244=CARTESIAN_POINT('',(1.397E1,-7.175E-1,-1.9E-1)); +#16245=AXIS2_PLACEMENT_3D('',#16244,#12442,#76); +#16246=PLANE('',#16245); +#16247=ORIENTED_EDGE('',*,*,#17903,.F.); +#16248=ORIENTED_EDGE('',*,*,#20032,.F.); +#16249=ORIENTED_EDGE('',*,*,#20033,.T.); +#16250=ORIENTED_EDGE('',*,*,#20029,.T.); +#16251=EDGE_LOOP('',(#16247,#16248,#16249,#16250)); +#16252=FACE_OUTER_BOUND('',#16251,.F.); +#16253=ADVANCED_FACE('',(#16252),#16246,.F.); +#16254=CARTESIAN_POINT('',(1.4525E1,-1.27E0,-1.9E-1)); +#16255=AXIS2_PLACEMENT_3D('',#16254,#12453,#80); +#16256=PLANE('',#16255); +#16257=ORIENTED_EDGE('',*,*,#17902,.F.); +#16258=ORIENTED_EDGE('',*,*,#20034,.F.); +#16259=ORIENTED_EDGE('',*,*,#20035,.T.); +#16260=ORIENTED_EDGE('',*,*,#20032,.T.); +#16261=EDGE_LOOP('',(#16257,#16258,#16259,#16260)); +#16262=FACE_OUTER_BOUND('',#16261,.F.); +#16263=ADVANCED_FACE('',(#16262),#16256,.F.); +#16264=CARTESIAN_POINT('',(1.397E1,-1.8225E0,-1.9E-1)); +#16265=AXIS2_PLACEMENT_3D('',#16264,#12464,#84); +#16266=PLANE('',#16265); +#16267=ORIENTED_EDGE('',*,*,#17901,.F.); +#16268=ORIENTED_EDGE('',*,*,#20031,.F.); +#16269=ORIENTED_EDGE('',*,*,#20036,.T.); +#16270=ORIENTED_EDGE('',*,*,#20034,.T.); +#16271=EDGE_LOOP('',(#16267,#16268,#16269,#16270)); +#16272=FACE_OUTER_BOUND('',#16271,.F.); +#16273=ADVANCED_FACE('',(#16272),#16266,.F.); +#16274=CARTESIAN_POINT('',(5.334E1,-5.08E0,-3.8E-1)); +#16275=AXIS2_PLACEMENT_3D('',#16274,#2100,#84); +#16276=PLANE('',#16275); +#16277=ORIENTED_EDGE('',*,*,#20030,.F.); +#16278=ORIENTED_EDGE('',*,*,#20033,.F.); +#16279=ORIENTED_EDGE('',*,*,#20035,.F.); +#16280=ORIENTED_EDGE('',*,*,#20036,.F.); +#16281=EDGE_LOOP('',(#16277,#16278,#16279,#16280)); +#16282=FACE_OUTER_BOUND('',#16281,.F.); +#16283=ADVANCED_FACE('',(#16282),#16276,.T.); +#16284=CARTESIAN_POINT('',(1.5955E1,-1.27E0,-1.9E-1)); +#16285=AXIS2_PLACEMENT_3D('',#16284,#12431,#72); +#16286=PLANE('',#16285); +#16287=ORIENTED_EDGE('',*,*,#17904,.F.); +#16288=ORIENTED_EDGE('',*,*,#20037,.F.); +#16289=ORIENTED_EDGE('',*,*,#20038,.T.); +#16290=ORIENTED_EDGE('',*,*,#20039,.T.); +#16291=EDGE_LOOP('',(#16287,#16288,#16289,#16290)); +#16292=FACE_OUTER_BOUND('',#16291,.F.); +#16293=ADVANCED_FACE('',(#16292),#16286,.F.); +#16294=CARTESIAN_POINT('',(1.651E1,-7.175E-1,-1.9E-1)); +#16295=AXIS2_PLACEMENT_3D('',#16294,#12442,#76); +#16296=PLANE('',#16295); +#16297=ORIENTED_EDGE('',*,*,#17907,.F.); +#16298=ORIENTED_EDGE('',*,*,#20040,.F.); +#16299=ORIENTED_EDGE('',*,*,#20041,.T.); +#16300=ORIENTED_EDGE('',*,*,#20037,.T.); +#16301=EDGE_LOOP('',(#16297,#16298,#16299,#16300)); +#16302=FACE_OUTER_BOUND('',#16301,.F.); +#16303=ADVANCED_FACE('',(#16302),#16296,.F.); +#16304=CARTESIAN_POINT('',(1.7065E1,-1.27E0,-1.9E-1)); +#16305=AXIS2_PLACEMENT_3D('',#16304,#12453,#80); +#16306=PLANE('',#16305); +#16307=ORIENTED_EDGE('',*,*,#17906,.F.); +#16308=ORIENTED_EDGE('',*,*,#20042,.F.); +#16309=ORIENTED_EDGE('',*,*,#20043,.T.); +#16310=ORIENTED_EDGE('',*,*,#20040,.T.); +#16311=EDGE_LOOP('',(#16307,#16308,#16309,#16310)); +#16312=FACE_OUTER_BOUND('',#16311,.F.); +#16313=ADVANCED_FACE('',(#16312),#16306,.F.); +#16314=CARTESIAN_POINT('',(1.651E1,-1.8225E0,-1.9E-1)); +#16315=AXIS2_PLACEMENT_3D('',#16314,#12464,#84); +#16316=PLANE('',#16315); +#16317=ORIENTED_EDGE('',*,*,#17905,.F.); +#16318=ORIENTED_EDGE('',*,*,#20039,.F.); +#16319=ORIENTED_EDGE('',*,*,#20044,.T.); +#16320=ORIENTED_EDGE('',*,*,#20042,.T.); +#16321=EDGE_LOOP('',(#16317,#16318,#16319,#16320)); +#16322=FACE_OUTER_BOUND('',#16321,.F.); +#16323=ADVANCED_FACE('',(#16322),#16316,.F.); +#16324=CARTESIAN_POINT('',(5.588E1,-5.08E0,-3.8E-1)); +#16325=AXIS2_PLACEMENT_3D('',#16324,#2100,#84); +#16326=PLANE('',#16325); +#16327=ORIENTED_EDGE('',*,*,#20038,.F.); +#16328=ORIENTED_EDGE('',*,*,#20041,.F.); +#16329=ORIENTED_EDGE('',*,*,#20043,.F.); +#16330=ORIENTED_EDGE('',*,*,#20044,.F.); +#16331=EDGE_LOOP('',(#16327,#16328,#16329,#16330)); +#16332=FACE_OUTER_BOUND('',#16331,.F.); +#16333=ADVANCED_FACE('',(#16332),#16326,.T.); +#16334=CARTESIAN_POINT('',(1.8495E1,-1.27E0,-1.9E-1)); +#16335=AXIS2_PLACEMENT_3D('',#16334,#12431,#72); +#16336=PLANE('',#16335); +#16337=ORIENTED_EDGE('',*,*,#17908,.F.); +#16338=ORIENTED_EDGE('',*,*,#20045,.F.); +#16339=ORIENTED_EDGE('',*,*,#20046,.T.); +#16340=ORIENTED_EDGE('',*,*,#20047,.T.); +#16341=EDGE_LOOP('',(#16337,#16338,#16339,#16340)); +#16342=FACE_OUTER_BOUND('',#16341,.F.); +#16343=ADVANCED_FACE('',(#16342),#16336,.F.); +#16344=CARTESIAN_POINT('',(1.905E1,-7.175E-1,-1.9E-1)); +#16345=AXIS2_PLACEMENT_3D('',#16344,#12442,#76); +#16346=PLANE('',#16345); +#16347=ORIENTED_EDGE('',*,*,#17911,.F.); +#16348=ORIENTED_EDGE('',*,*,#20048,.F.); +#16349=ORIENTED_EDGE('',*,*,#20049,.T.); +#16350=ORIENTED_EDGE('',*,*,#20045,.T.); +#16351=EDGE_LOOP('',(#16347,#16348,#16349,#16350)); +#16352=FACE_OUTER_BOUND('',#16351,.F.); +#16353=ADVANCED_FACE('',(#16352),#16346,.F.); +#16354=CARTESIAN_POINT('',(1.9605E1,-1.27E0,-1.9E-1)); +#16355=AXIS2_PLACEMENT_3D('',#16354,#12453,#80); +#16356=PLANE('',#16355); +#16357=ORIENTED_EDGE('',*,*,#17910,.F.); +#16358=ORIENTED_EDGE('',*,*,#20050,.F.); +#16359=ORIENTED_EDGE('',*,*,#20051,.T.); +#16360=ORIENTED_EDGE('',*,*,#20048,.T.); +#16361=EDGE_LOOP('',(#16357,#16358,#16359,#16360)); +#16362=FACE_OUTER_BOUND('',#16361,.F.); +#16363=ADVANCED_FACE('',(#16362),#16356,.F.); +#16364=CARTESIAN_POINT('',(1.905E1,-1.8225E0,-1.9E-1)); +#16365=AXIS2_PLACEMENT_3D('',#16364,#12464,#84); +#16366=PLANE('',#16365); +#16367=ORIENTED_EDGE('',*,*,#17909,.F.); +#16368=ORIENTED_EDGE('',*,*,#20047,.F.); +#16369=ORIENTED_EDGE('',*,*,#20052,.T.); +#16370=ORIENTED_EDGE('',*,*,#20050,.T.); +#16371=EDGE_LOOP('',(#16367,#16368,#16369,#16370)); +#16372=FACE_OUTER_BOUND('',#16371,.F.); +#16373=ADVANCED_FACE('',(#16372),#16366,.F.); +#16374=CARTESIAN_POINT('',(5.842E1,-5.08E0,-3.8E-1)); +#16375=AXIS2_PLACEMENT_3D('',#16374,#2100,#84); +#16376=PLANE('',#16375); +#16377=ORIENTED_EDGE('',*,*,#20046,.F.); +#16378=ORIENTED_EDGE('',*,*,#20049,.F.); +#16379=ORIENTED_EDGE('',*,*,#20051,.F.); +#16380=ORIENTED_EDGE('',*,*,#20052,.F.); +#16381=EDGE_LOOP('',(#16377,#16378,#16379,#16380)); +#16382=FACE_OUTER_BOUND('',#16381,.F.); +#16383=ADVANCED_FACE('',(#16382),#16376,.T.); +#16384=CARTESIAN_POINT('',(2.1035E1,-1.27E0,-1.9E-1)); +#16385=AXIS2_PLACEMENT_3D('',#16384,#12431,#72); +#16386=PLANE('',#16385); +#16387=ORIENTED_EDGE('',*,*,#17912,.F.); +#16388=ORIENTED_EDGE('',*,*,#20053,.F.); +#16389=ORIENTED_EDGE('',*,*,#20054,.T.); +#16390=ORIENTED_EDGE('',*,*,#20055,.T.); +#16391=EDGE_LOOP('',(#16387,#16388,#16389,#16390)); +#16392=FACE_OUTER_BOUND('',#16391,.F.); +#16393=ADVANCED_FACE('',(#16392),#16386,.F.); +#16394=CARTESIAN_POINT('',(2.159E1,-7.175E-1,-1.9E-1)); +#16395=AXIS2_PLACEMENT_3D('',#16394,#12442,#76); +#16396=PLANE('',#16395); +#16397=ORIENTED_EDGE('',*,*,#17915,.F.); +#16398=ORIENTED_EDGE('',*,*,#20056,.F.); +#16399=ORIENTED_EDGE('',*,*,#20057,.T.); +#16400=ORIENTED_EDGE('',*,*,#20053,.T.); +#16401=EDGE_LOOP('',(#16397,#16398,#16399,#16400)); +#16402=FACE_OUTER_BOUND('',#16401,.F.); +#16403=ADVANCED_FACE('',(#16402),#16396,.F.); +#16404=CARTESIAN_POINT('',(2.2145E1,-1.27E0,-1.9E-1)); +#16405=AXIS2_PLACEMENT_3D('',#16404,#12453,#80); +#16406=PLANE('',#16405); +#16407=ORIENTED_EDGE('',*,*,#17914,.F.); +#16408=ORIENTED_EDGE('',*,*,#20058,.F.); +#16409=ORIENTED_EDGE('',*,*,#20059,.T.); +#16410=ORIENTED_EDGE('',*,*,#20056,.T.); +#16411=EDGE_LOOP('',(#16407,#16408,#16409,#16410)); +#16412=FACE_OUTER_BOUND('',#16411,.F.); +#16413=ADVANCED_FACE('',(#16412),#16406,.F.); +#16414=CARTESIAN_POINT('',(2.159E1,-1.8225E0,-1.9E-1)); +#16415=AXIS2_PLACEMENT_3D('',#16414,#12464,#84); +#16416=PLANE('',#16415); +#16417=ORIENTED_EDGE('',*,*,#17913,.F.); +#16418=ORIENTED_EDGE('',*,*,#20055,.F.); +#16419=ORIENTED_EDGE('',*,*,#20060,.T.); +#16420=ORIENTED_EDGE('',*,*,#20058,.T.); +#16421=EDGE_LOOP('',(#16417,#16418,#16419,#16420)); +#16422=FACE_OUTER_BOUND('',#16421,.F.); +#16423=ADVANCED_FACE('',(#16422),#16416,.F.); +#16424=CARTESIAN_POINT('',(6.096E1,-5.08E0,-3.8E-1)); +#16425=AXIS2_PLACEMENT_3D('',#16424,#2100,#84); +#16426=PLANE('',#16425); +#16427=ORIENTED_EDGE('',*,*,#20054,.F.); +#16428=ORIENTED_EDGE('',*,*,#20057,.F.); +#16429=ORIENTED_EDGE('',*,*,#20059,.F.); +#16430=ORIENTED_EDGE('',*,*,#20060,.F.); +#16431=EDGE_LOOP('',(#16427,#16428,#16429,#16430)); +#16432=FACE_OUTER_BOUND('',#16431,.F.); +#16433=ADVANCED_FACE('',(#16432),#16426,.T.); +#16434=CARTESIAN_POINT('',(2.3575E1,-1.27E0,-1.9E-1)); +#16435=AXIS2_PLACEMENT_3D('',#16434,#12431,#72); +#16436=PLANE('',#16435); +#16437=ORIENTED_EDGE('',*,*,#17916,.F.); +#16438=ORIENTED_EDGE('',*,*,#20061,.F.); +#16439=ORIENTED_EDGE('',*,*,#20062,.T.); +#16440=ORIENTED_EDGE('',*,*,#20063,.T.); +#16441=EDGE_LOOP('',(#16437,#16438,#16439,#16440)); +#16442=FACE_OUTER_BOUND('',#16441,.F.); +#16443=ADVANCED_FACE('',(#16442),#16436,.F.); +#16444=CARTESIAN_POINT('',(2.413E1,-7.175E-1,-1.9E-1)); +#16445=AXIS2_PLACEMENT_3D('',#16444,#12442,#76); +#16446=PLANE('',#16445); +#16447=ORIENTED_EDGE('',*,*,#17919,.F.); +#16448=ORIENTED_EDGE('',*,*,#20064,.F.); +#16449=ORIENTED_EDGE('',*,*,#20065,.T.); +#16450=ORIENTED_EDGE('',*,*,#20061,.T.); +#16451=EDGE_LOOP('',(#16447,#16448,#16449,#16450)); +#16452=FACE_OUTER_BOUND('',#16451,.F.); +#16453=ADVANCED_FACE('',(#16452),#16446,.F.); +#16454=CARTESIAN_POINT('',(2.4685E1,-1.27E0,-1.9E-1)); +#16455=AXIS2_PLACEMENT_3D('',#16454,#12453,#80); +#16456=PLANE('',#16455); +#16457=ORIENTED_EDGE('',*,*,#17918,.F.); +#16458=ORIENTED_EDGE('',*,*,#20066,.F.); +#16459=ORIENTED_EDGE('',*,*,#20067,.T.); +#16460=ORIENTED_EDGE('',*,*,#20064,.T.); +#16461=EDGE_LOOP('',(#16457,#16458,#16459,#16460)); +#16462=FACE_OUTER_BOUND('',#16461,.F.); +#16463=ADVANCED_FACE('',(#16462),#16456,.F.); +#16464=CARTESIAN_POINT('',(2.413E1,-1.8225E0,-1.9E-1)); +#16465=AXIS2_PLACEMENT_3D('',#16464,#12464,#84); +#16466=PLANE('',#16465); +#16467=ORIENTED_EDGE('',*,*,#17917,.F.); +#16468=ORIENTED_EDGE('',*,*,#20063,.F.); +#16469=ORIENTED_EDGE('',*,*,#20068,.T.); +#16470=ORIENTED_EDGE('',*,*,#20066,.T.); +#16471=EDGE_LOOP('',(#16467,#16468,#16469,#16470)); +#16472=FACE_OUTER_BOUND('',#16471,.F.); +#16473=ADVANCED_FACE('',(#16472),#16466,.F.); +#16474=CARTESIAN_POINT('',(6.35E1,-5.08E0,-3.8E-1)); +#16475=AXIS2_PLACEMENT_3D('',#16474,#2100,#84); +#16476=PLANE('',#16475); +#16477=ORIENTED_EDGE('',*,*,#20062,.F.); +#16478=ORIENTED_EDGE('',*,*,#20065,.F.); +#16479=ORIENTED_EDGE('',*,*,#20067,.F.); +#16480=ORIENTED_EDGE('',*,*,#20068,.F.); +#16481=EDGE_LOOP('',(#16477,#16478,#16479,#16480)); +#16482=FACE_OUTER_BOUND('',#16481,.F.); +#16483=ADVANCED_FACE('',(#16482),#16476,.T.); +#16484=CARTESIAN_POINT('',(2.6115E1,-1.27E0,-1.9E-1)); +#16485=AXIS2_PLACEMENT_3D('',#16484,#12431,#72); +#16486=PLANE('',#16485); +#16487=ORIENTED_EDGE('',*,*,#17920,.F.); +#16488=ORIENTED_EDGE('',*,*,#20069,.F.); +#16489=ORIENTED_EDGE('',*,*,#20070,.T.); +#16490=ORIENTED_EDGE('',*,*,#20071,.T.); +#16491=EDGE_LOOP('',(#16487,#16488,#16489,#16490)); +#16492=FACE_OUTER_BOUND('',#16491,.F.); +#16493=ADVANCED_FACE('',(#16492),#16486,.F.); +#16494=CARTESIAN_POINT('',(2.667E1,-7.175E-1,-1.9E-1)); +#16495=AXIS2_PLACEMENT_3D('',#16494,#12442,#76); +#16496=PLANE('',#16495); +#16497=ORIENTED_EDGE('',*,*,#17923,.F.); +#16498=ORIENTED_EDGE('',*,*,#20072,.F.); +#16499=ORIENTED_EDGE('',*,*,#20073,.T.); +#16500=ORIENTED_EDGE('',*,*,#20069,.T.); +#16501=EDGE_LOOP('',(#16497,#16498,#16499,#16500)); +#16502=FACE_OUTER_BOUND('',#16501,.F.); +#16503=ADVANCED_FACE('',(#16502),#16496,.F.); +#16504=CARTESIAN_POINT('',(2.7225E1,-1.27E0,-1.9E-1)); +#16505=AXIS2_PLACEMENT_3D('',#16504,#12453,#80); +#16506=PLANE('',#16505); +#16507=ORIENTED_EDGE('',*,*,#17922,.F.); +#16508=ORIENTED_EDGE('',*,*,#20074,.F.); +#16509=ORIENTED_EDGE('',*,*,#20075,.T.); +#16510=ORIENTED_EDGE('',*,*,#20072,.T.); +#16511=EDGE_LOOP('',(#16507,#16508,#16509,#16510)); +#16512=FACE_OUTER_BOUND('',#16511,.F.); +#16513=ADVANCED_FACE('',(#16512),#16506,.F.); +#16514=CARTESIAN_POINT('',(2.667E1,-1.8225E0,-1.9E-1)); +#16515=AXIS2_PLACEMENT_3D('',#16514,#12464,#84); +#16516=PLANE('',#16515); +#16517=ORIENTED_EDGE('',*,*,#17921,.F.); +#16518=ORIENTED_EDGE('',*,*,#20071,.F.); +#16519=ORIENTED_EDGE('',*,*,#20076,.T.); +#16520=ORIENTED_EDGE('',*,*,#20074,.T.); +#16521=EDGE_LOOP('',(#16517,#16518,#16519,#16520)); +#16522=FACE_OUTER_BOUND('',#16521,.F.); +#16523=ADVANCED_FACE('',(#16522),#16516,.F.); +#16524=CARTESIAN_POINT('',(6.604E1,-5.08E0,-3.8E-1)); +#16525=AXIS2_PLACEMENT_3D('',#16524,#2100,#84); +#16526=PLANE('',#16525); +#16527=ORIENTED_EDGE('',*,*,#20070,.F.); +#16528=ORIENTED_EDGE('',*,*,#20073,.F.); +#16529=ORIENTED_EDGE('',*,*,#20075,.F.); +#16530=ORIENTED_EDGE('',*,*,#20076,.F.); +#16531=EDGE_LOOP('',(#16527,#16528,#16529,#16530)); +#16532=FACE_OUTER_BOUND('',#16531,.F.); +#16533=ADVANCED_FACE('',(#16532),#16526,.T.); +#16534=CARTESIAN_POINT('',(-1.9605E1,-3.81E0,-1.9E-1)); +#16535=AXIS2_PLACEMENT_3D('',#16534,#12431,#72); +#16536=PLANE('',#16535); +#16537=ORIENTED_EDGE('',*,*,#17924,.F.); +#16538=ORIENTED_EDGE('',*,*,#20077,.F.); +#16539=ORIENTED_EDGE('',*,*,#20078,.T.); +#16540=ORIENTED_EDGE('',*,*,#20079,.T.); +#16541=EDGE_LOOP('',(#16537,#16538,#16539,#16540)); +#16542=FACE_OUTER_BOUND('',#16541,.F.); +#16543=ADVANCED_FACE('',(#16542),#16536,.F.); +#16544=CARTESIAN_POINT('',(-1.905E1,-3.2575E0,-1.9E-1)); +#16545=AXIS2_PLACEMENT_3D('',#16544,#12442,#76); +#16546=PLANE('',#16545); +#16547=ORIENTED_EDGE('',*,*,#17927,.F.); +#16548=ORIENTED_EDGE('',*,*,#20080,.F.); +#16549=ORIENTED_EDGE('',*,*,#20081,.T.); +#16550=ORIENTED_EDGE('',*,*,#20077,.T.); +#16551=EDGE_LOOP('',(#16547,#16548,#16549,#16550)); +#16552=FACE_OUTER_BOUND('',#16551,.F.); +#16553=ADVANCED_FACE('',(#16552),#16546,.F.); +#16554=CARTESIAN_POINT('',(-1.8495E1,-3.81E0,-1.9E-1)); +#16555=AXIS2_PLACEMENT_3D('',#16554,#12453,#80); +#16556=PLANE('',#16555); +#16557=ORIENTED_EDGE('',*,*,#17926,.F.); +#16558=ORIENTED_EDGE('',*,*,#20082,.F.); +#16559=ORIENTED_EDGE('',*,*,#20083,.T.); +#16560=ORIENTED_EDGE('',*,*,#20080,.T.); +#16561=EDGE_LOOP('',(#16557,#16558,#16559,#16560)); +#16562=FACE_OUTER_BOUND('',#16561,.F.); +#16563=ADVANCED_FACE('',(#16562),#16556,.F.); +#16564=CARTESIAN_POINT('',(-1.905E1,-4.3625E0,-1.9E-1)); +#16565=AXIS2_PLACEMENT_3D('',#16564,#12464,#84); +#16566=PLANE('',#16565); +#16567=ORIENTED_EDGE('',*,*,#17925,.F.); +#16568=ORIENTED_EDGE('',*,*,#20079,.F.); +#16569=ORIENTED_EDGE('',*,*,#20084,.T.); +#16570=ORIENTED_EDGE('',*,*,#20082,.T.); +#16571=EDGE_LOOP('',(#16567,#16568,#16569,#16570)); +#16572=FACE_OUTER_BOUND('',#16571,.F.); +#16573=ADVANCED_FACE('',(#16572),#16566,.F.); +#16574=CARTESIAN_POINT('',(2.032E1,-7.62E0,-3.8E-1)); +#16575=AXIS2_PLACEMENT_3D('',#16574,#2100,#84); +#16576=PLANE('',#16575); +#16577=ORIENTED_EDGE('',*,*,#20078,.F.); +#16578=ORIENTED_EDGE('',*,*,#20081,.F.); +#16579=ORIENTED_EDGE('',*,*,#20083,.F.); +#16580=ORIENTED_EDGE('',*,*,#20084,.F.); +#16581=EDGE_LOOP('',(#16577,#16578,#16579,#16580)); +#16582=FACE_OUTER_BOUND('',#16581,.F.); +#16583=ADVANCED_FACE('',(#16582),#16576,.T.); +#16584=CARTESIAN_POINT('',(-1.7065E1,-3.81E0,-1.9E-1)); +#16585=AXIS2_PLACEMENT_3D('',#16584,#12431,#72); +#16586=PLANE('',#16585); +#16587=ORIENTED_EDGE('',*,*,#17928,.F.); +#16588=ORIENTED_EDGE('',*,*,#20085,.F.); +#16589=ORIENTED_EDGE('',*,*,#20086,.T.); +#16590=ORIENTED_EDGE('',*,*,#20087,.T.); +#16591=EDGE_LOOP('',(#16587,#16588,#16589,#16590)); +#16592=FACE_OUTER_BOUND('',#16591,.F.); +#16593=ADVANCED_FACE('',(#16592),#16586,.F.); +#16594=CARTESIAN_POINT('',(-1.651E1,-3.2575E0,-1.9E-1)); +#16595=AXIS2_PLACEMENT_3D('',#16594,#12442,#76); +#16596=PLANE('',#16595); +#16597=ORIENTED_EDGE('',*,*,#17931,.F.); +#16598=ORIENTED_EDGE('',*,*,#20088,.F.); +#16599=ORIENTED_EDGE('',*,*,#20089,.T.); +#16600=ORIENTED_EDGE('',*,*,#20085,.T.); +#16601=EDGE_LOOP('',(#16597,#16598,#16599,#16600)); +#16602=FACE_OUTER_BOUND('',#16601,.F.); +#16603=ADVANCED_FACE('',(#16602),#16596,.F.); +#16604=CARTESIAN_POINT('',(-1.5955E1,-3.81E0,-1.9E-1)); +#16605=AXIS2_PLACEMENT_3D('',#16604,#12453,#80); +#16606=PLANE('',#16605); +#16607=ORIENTED_EDGE('',*,*,#17930,.F.); +#16608=ORIENTED_EDGE('',*,*,#20090,.F.); +#16609=ORIENTED_EDGE('',*,*,#20091,.T.); +#16610=ORIENTED_EDGE('',*,*,#20088,.T.); +#16611=EDGE_LOOP('',(#16607,#16608,#16609,#16610)); +#16612=FACE_OUTER_BOUND('',#16611,.F.); +#16613=ADVANCED_FACE('',(#16612),#16606,.F.); +#16614=CARTESIAN_POINT('',(-1.651E1,-4.3625E0,-1.9E-1)); +#16615=AXIS2_PLACEMENT_3D('',#16614,#12464,#84); +#16616=PLANE('',#16615); +#16617=ORIENTED_EDGE('',*,*,#17929,.F.); +#16618=ORIENTED_EDGE('',*,*,#20087,.F.); +#16619=ORIENTED_EDGE('',*,*,#20092,.T.); +#16620=ORIENTED_EDGE('',*,*,#20090,.T.); +#16621=EDGE_LOOP('',(#16617,#16618,#16619,#16620)); +#16622=FACE_OUTER_BOUND('',#16621,.F.); +#16623=ADVANCED_FACE('',(#16622),#16616,.F.); +#16624=CARTESIAN_POINT('',(2.286E1,-7.62E0,-3.8E-1)); +#16625=AXIS2_PLACEMENT_3D('',#16624,#2100,#84); +#16626=PLANE('',#16625); +#16627=ORIENTED_EDGE('',*,*,#20086,.F.); +#16628=ORIENTED_EDGE('',*,*,#20089,.F.); +#16629=ORIENTED_EDGE('',*,*,#20091,.F.); +#16630=ORIENTED_EDGE('',*,*,#20092,.F.); +#16631=EDGE_LOOP('',(#16627,#16628,#16629,#16630)); +#16632=FACE_OUTER_BOUND('',#16631,.F.); +#16633=ADVANCED_FACE('',(#16632),#16626,.T.); +#16634=CARTESIAN_POINT('',(-1.4525E1,-3.81E0,-1.9E-1)); +#16635=AXIS2_PLACEMENT_3D('',#16634,#12431,#72); +#16636=PLANE('',#16635); +#16637=ORIENTED_EDGE('',*,*,#17932,.F.); +#16638=ORIENTED_EDGE('',*,*,#20093,.F.); +#16639=ORIENTED_EDGE('',*,*,#20094,.T.); +#16640=ORIENTED_EDGE('',*,*,#20095,.T.); +#16641=EDGE_LOOP('',(#16637,#16638,#16639,#16640)); +#16642=FACE_OUTER_BOUND('',#16641,.F.); +#16643=ADVANCED_FACE('',(#16642),#16636,.F.); +#16644=CARTESIAN_POINT('',(-1.397E1,-3.2575E0,-1.9E-1)); +#16645=AXIS2_PLACEMENT_3D('',#16644,#12442,#76); +#16646=PLANE('',#16645); +#16647=ORIENTED_EDGE('',*,*,#17935,.F.); +#16648=ORIENTED_EDGE('',*,*,#20096,.F.); +#16649=ORIENTED_EDGE('',*,*,#20097,.T.); +#16650=ORIENTED_EDGE('',*,*,#20093,.T.); +#16651=EDGE_LOOP('',(#16647,#16648,#16649,#16650)); +#16652=FACE_OUTER_BOUND('',#16651,.F.); +#16653=ADVANCED_FACE('',(#16652),#16646,.F.); +#16654=CARTESIAN_POINT('',(-1.3415E1,-3.81E0,-1.9E-1)); +#16655=AXIS2_PLACEMENT_3D('',#16654,#12453,#80); +#16656=PLANE('',#16655); +#16657=ORIENTED_EDGE('',*,*,#17934,.F.); +#16658=ORIENTED_EDGE('',*,*,#20098,.F.); +#16659=ORIENTED_EDGE('',*,*,#20099,.T.); +#16660=ORIENTED_EDGE('',*,*,#20096,.T.); +#16661=EDGE_LOOP('',(#16657,#16658,#16659,#16660)); +#16662=FACE_OUTER_BOUND('',#16661,.F.); +#16663=ADVANCED_FACE('',(#16662),#16656,.F.); +#16664=CARTESIAN_POINT('',(-1.397E1,-4.3625E0,-1.9E-1)); +#16665=AXIS2_PLACEMENT_3D('',#16664,#12464,#84); +#16666=PLANE('',#16665); +#16667=ORIENTED_EDGE('',*,*,#17933,.F.); +#16668=ORIENTED_EDGE('',*,*,#20095,.F.); +#16669=ORIENTED_EDGE('',*,*,#20100,.T.); +#16670=ORIENTED_EDGE('',*,*,#20098,.T.); +#16671=EDGE_LOOP('',(#16667,#16668,#16669,#16670)); +#16672=FACE_OUTER_BOUND('',#16671,.F.); +#16673=ADVANCED_FACE('',(#16672),#16666,.F.); +#16674=CARTESIAN_POINT('',(2.54E1,-7.62E0,-3.8E-1)); +#16675=AXIS2_PLACEMENT_3D('',#16674,#2100,#84); +#16676=PLANE('',#16675); +#16677=ORIENTED_EDGE('',*,*,#20094,.F.); +#16678=ORIENTED_EDGE('',*,*,#20097,.F.); +#16679=ORIENTED_EDGE('',*,*,#20099,.F.); +#16680=ORIENTED_EDGE('',*,*,#20100,.F.); +#16681=EDGE_LOOP('',(#16677,#16678,#16679,#16680)); +#16682=FACE_OUTER_BOUND('',#16681,.F.); +#16683=ADVANCED_FACE('',(#16682),#16676,.T.); +#16684=CARTESIAN_POINT('',(-1.1985E1,-3.81E0,-1.9E-1)); +#16685=AXIS2_PLACEMENT_3D('',#16684,#12431,#72); +#16686=PLANE('',#16685); +#16687=ORIENTED_EDGE('',*,*,#17936,.F.); +#16688=ORIENTED_EDGE('',*,*,#20101,.F.); +#16689=ORIENTED_EDGE('',*,*,#20102,.T.); +#16690=ORIENTED_EDGE('',*,*,#20103,.T.); +#16691=EDGE_LOOP('',(#16687,#16688,#16689,#16690)); +#16692=FACE_OUTER_BOUND('',#16691,.F.); +#16693=ADVANCED_FACE('',(#16692),#16686,.F.); +#16694=CARTESIAN_POINT('',(-1.143E1,-3.2575E0,-1.9E-1)); +#16695=AXIS2_PLACEMENT_3D('',#16694,#12442,#76); +#16696=PLANE('',#16695); +#16697=ORIENTED_EDGE('',*,*,#17939,.F.); +#16698=ORIENTED_EDGE('',*,*,#20104,.F.); +#16699=ORIENTED_EDGE('',*,*,#20105,.T.); +#16700=ORIENTED_EDGE('',*,*,#20101,.T.); +#16701=EDGE_LOOP('',(#16697,#16698,#16699,#16700)); +#16702=FACE_OUTER_BOUND('',#16701,.F.); +#16703=ADVANCED_FACE('',(#16702),#16696,.F.); +#16704=CARTESIAN_POINT('',(-1.0875E1,-3.81E0,-1.9E-1)); +#16705=AXIS2_PLACEMENT_3D('',#16704,#12453,#80); +#16706=PLANE('',#16705); +#16707=ORIENTED_EDGE('',*,*,#17938,.F.); +#16708=ORIENTED_EDGE('',*,*,#20106,.F.); +#16709=ORIENTED_EDGE('',*,*,#20107,.T.); +#16710=ORIENTED_EDGE('',*,*,#20104,.T.); +#16711=EDGE_LOOP('',(#16707,#16708,#16709,#16710)); +#16712=FACE_OUTER_BOUND('',#16711,.F.); +#16713=ADVANCED_FACE('',(#16712),#16706,.F.); +#16714=CARTESIAN_POINT('',(-1.143E1,-4.3625E0,-1.9E-1)); +#16715=AXIS2_PLACEMENT_3D('',#16714,#12464,#84); +#16716=PLANE('',#16715); +#16717=ORIENTED_EDGE('',*,*,#17937,.F.); +#16718=ORIENTED_EDGE('',*,*,#20103,.F.); +#16719=ORIENTED_EDGE('',*,*,#20108,.T.); +#16720=ORIENTED_EDGE('',*,*,#20106,.T.); +#16721=EDGE_LOOP('',(#16717,#16718,#16719,#16720)); +#16722=FACE_OUTER_BOUND('',#16721,.F.); +#16723=ADVANCED_FACE('',(#16722),#16716,.F.); +#16724=CARTESIAN_POINT('',(2.794E1,-7.62E0,-3.8E-1)); +#16725=AXIS2_PLACEMENT_3D('',#16724,#2100,#84); +#16726=PLANE('',#16725); +#16727=ORIENTED_EDGE('',*,*,#20102,.F.); +#16728=ORIENTED_EDGE('',*,*,#20105,.F.); +#16729=ORIENTED_EDGE('',*,*,#20107,.F.); +#16730=ORIENTED_EDGE('',*,*,#20108,.F.); +#16731=EDGE_LOOP('',(#16727,#16728,#16729,#16730)); +#16732=FACE_OUTER_BOUND('',#16731,.F.); +#16733=ADVANCED_FACE('',(#16732),#16726,.T.); +#16734=CARTESIAN_POINT('',(-9.445E0,-3.81E0,-1.9E-1)); +#16735=AXIS2_PLACEMENT_3D('',#16734,#12431,#72); +#16736=PLANE('',#16735); +#16737=ORIENTED_EDGE('',*,*,#17940,.F.); +#16738=ORIENTED_EDGE('',*,*,#20109,.F.); +#16739=ORIENTED_EDGE('',*,*,#20110,.T.); +#16740=ORIENTED_EDGE('',*,*,#20111,.T.); +#16741=EDGE_LOOP('',(#16737,#16738,#16739,#16740)); +#16742=FACE_OUTER_BOUND('',#16741,.F.); +#16743=ADVANCED_FACE('',(#16742),#16736,.F.); +#16744=CARTESIAN_POINT('',(-8.89E0,-3.2575E0,-1.9E-1)); +#16745=AXIS2_PLACEMENT_3D('',#16744,#12442,#76); +#16746=PLANE('',#16745); +#16747=ORIENTED_EDGE('',*,*,#17943,.F.); +#16748=ORIENTED_EDGE('',*,*,#20112,.F.); +#16749=ORIENTED_EDGE('',*,*,#20113,.T.); +#16750=ORIENTED_EDGE('',*,*,#20109,.T.); +#16751=EDGE_LOOP('',(#16747,#16748,#16749,#16750)); +#16752=FACE_OUTER_BOUND('',#16751,.F.); +#16753=ADVANCED_FACE('',(#16752),#16746,.F.); +#16754=CARTESIAN_POINT('',(-8.335E0,-3.81E0,-1.9E-1)); +#16755=AXIS2_PLACEMENT_3D('',#16754,#12453,#80); +#16756=PLANE('',#16755); +#16757=ORIENTED_EDGE('',*,*,#17942,.F.); +#16758=ORIENTED_EDGE('',*,*,#20114,.F.); +#16759=ORIENTED_EDGE('',*,*,#20115,.T.); +#16760=ORIENTED_EDGE('',*,*,#20112,.T.); +#16761=EDGE_LOOP('',(#16757,#16758,#16759,#16760)); +#16762=FACE_OUTER_BOUND('',#16761,.F.); +#16763=ADVANCED_FACE('',(#16762),#16756,.F.); +#16764=CARTESIAN_POINT('',(-8.89E0,-4.3625E0,-1.9E-1)); +#16765=AXIS2_PLACEMENT_3D('',#16764,#12464,#84); +#16766=PLANE('',#16765); +#16767=ORIENTED_EDGE('',*,*,#17941,.F.); +#16768=ORIENTED_EDGE('',*,*,#20111,.F.); +#16769=ORIENTED_EDGE('',*,*,#20116,.T.); +#16770=ORIENTED_EDGE('',*,*,#20114,.T.); +#16771=EDGE_LOOP('',(#16767,#16768,#16769,#16770)); +#16772=FACE_OUTER_BOUND('',#16771,.F.); +#16773=ADVANCED_FACE('',(#16772),#16766,.F.); +#16774=CARTESIAN_POINT('',(3.048E1,-7.62E0,-3.8E-1)); +#16775=AXIS2_PLACEMENT_3D('',#16774,#2100,#84); +#16776=PLANE('',#16775); +#16777=ORIENTED_EDGE('',*,*,#20110,.F.); +#16778=ORIENTED_EDGE('',*,*,#20113,.F.); +#16779=ORIENTED_EDGE('',*,*,#20115,.F.); +#16780=ORIENTED_EDGE('',*,*,#20116,.F.); +#16781=EDGE_LOOP('',(#16777,#16778,#16779,#16780)); +#16782=FACE_OUTER_BOUND('',#16781,.F.); +#16783=ADVANCED_FACE('',(#16782),#16776,.T.); +#16784=CARTESIAN_POINT('',(-6.905E0,-3.81E0,-1.9E-1)); +#16785=AXIS2_PLACEMENT_3D('',#16784,#12431,#72); +#16786=PLANE('',#16785); +#16787=ORIENTED_EDGE('',*,*,#17944,.F.); +#16788=ORIENTED_EDGE('',*,*,#20117,.F.); +#16789=ORIENTED_EDGE('',*,*,#20118,.T.); +#16790=ORIENTED_EDGE('',*,*,#20119,.T.); +#16791=EDGE_LOOP('',(#16787,#16788,#16789,#16790)); +#16792=FACE_OUTER_BOUND('',#16791,.F.); +#16793=ADVANCED_FACE('',(#16792),#16786,.F.); +#16794=CARTESIAN_POINT('',(-6.35E0,-3.2575E0,-1.9E-1)); +#16795=AXIS2_PLACEMENT_3D('',#16794,#12442,#76); +#16796=PLANE('',#16795); +#16797=ORIENTED_EDGE('',*,*,#17947,.F.); +#16798=ORIENTED_EDGE('',*,*,#20120,.F.); +#16799=ORIENTED_EDGE('',*,*,#20121,.T.); +#16800=ORIENTED_EDGE('',*,*,#20117,.T.); +#16801=EDGE_LOOP('',(#16797,#16798,#16799,#16800)); +#16802=FACE_OUTER_BOUND('',#16801,.F.); +#16803=ADVANCED_FACE('',(#16802),#16796,.F.); +#16804=CARTESIAN_POINT('',(-5.795E0,-3.81E0,-1.9E-1)); +#16805=AXIS2_PLACEMENT_3D('',#16804,#12453,#80); +#16806=PLANE('',#16805); +#16807=ORIENTED_EDGE('',*,*,#17946,.F.); +#16808=ORIENTED_EDGE('',*,*,#20122,.F.); +#16809=ORIENTED_EDGE('',*,*,#20123,.T.); +#16810=ORIENTED_EDGE('',*,*,#20120,.T.); +#16811=EDGE_LOOP('',(#16807,#16808,#16809,#16810)); +#16812=FACE_OUTER_BOUND('',#16811,.F.); +#16813=ADVANCED_FACE('',(#16812),#16806,.F.); +#16814=CARTESIAN_POINT('',(-6.35E0,-4.3625E0,-1.9E-1)); +#16815=AXIS2_PLACEMENT_3D('',#16814,#12464,#84); +#16816=PLANE('',#16815); +#16817=ORIENTED_EDGE('',*,*,#17945,.F.); +#16818=ORIENTED_EDGE('',*,*,#20119,.F.); +#16819=ORIENTED_EDGE('',*,*,#20124,.T.); +#16820=ORIENTED_EDGE('',*,*,#20122,.T.); +#16821=EDGE_LOOP('',(#16817,#16818,#16819,#16820)); +#16822=FACE_OUTER_BOUND('',#16821,.F.); +#16823=ADVANCED_FACE('',(#16822),#16816,.F.); +#16824=CARTESIAN_POINT('',(3.302E1,-7.62E0,-3.8E-1)); +#16825=AXIS2_PLACEMENT_3D('',#16824,#2100,#84); +#16826=PLANE('',#16825); +#16827=ORIENTED_EDGE('',*,*,#20118,.F.); +#16828=ORIENTED_EDGE('',*,*,#20121,.F.); +#16829=ORIENTED_EDGE('',*,*,#20123,.F.); +#16830=ORIENTED_EDGE('',*,*,#20124,.F.); +#16831=EDGE_LOOP('',(#16827,#16828,#16829,#16830)); +#16832=FACE_OUTER_BOUND('',#16831,.F.); +#16833=ADVANCED_FACE('',(#16832),#16826,.T.); +#16834=CARTESIAN_POINT('',(-4.365E0,-3.81E0,-1.9E-1)); +#16835=AXIS2_PLACEMENT_3D('',#16834,#12431,#72); +#16836=PLANE('',#16835); +#16837=ORIENTED_EDGE('',*,*,#17948,.F.); +#16838=ORIENTED_EDGE('',*,*,#20125,.F.); +#16839=ORIENTED_EDGE('',*,*,#20126,.T.); +#16840=ORIENTED_EDGE('',*,*,#20127,.T.); +#16841=EDGE_LOOP('',(#16837,#16838,#16839,#16840)); +#16842=FACE_OUTER_BOUND('',#16841,.F.); +#16843=ADVANCED_FACE('',(#16842),#16836,.F.); +#16844=CARTESIAN_POINT('',(-3.81E0,-3.2575E0,-1.9E-1)); +#16845=AXIS2_PLACEMENT_3D('',#16844,#12442,#76); +#16846=PLANE('',#16845); +#16847=ORIENTED_EDGE('',*,*,#17951,.F.); +#16848=ORIENTED_EDGE('',*,*,#20128,.F.); +#16849=ORIENTED_EDGE('',*,*,#20129,.T.); +#16850=ORIENTED_EDGE('',*,*,#20125,.T.); +#16851=EDGE_LOOP('',(#16847,#16848,#16849,#16850)); +#16852=FACE_OUTER_BOUND('',#16851,.F.); +#16853=ADVANCED_FACE('',(#16852),#16846,.F.); +#16854=CARTESIAN_POINT('',(-3.255E0,-3.81E0,-1.9E-1)); +#16855=AXIS2_PLACEMENT_3D('',#16854,#12453,#80); +#16856=PLANE('',#16855); +#16857=ORIENTED_EDGE('',*,*,#17950,.F.); +#16858=ORIENTED_EDGE('',*,*,#20130,.F.); +#16859=ORIENTED_EDGE('',*,*,#20131,.T.); +#16860=ORIENTED_EDGE('',*,*,#20128,.T.); +#16861=EDGE_LOOP('',(#16857,#16858,#16859,#16860)); +#16862=FACE_OUTER_BOUND('',#16861,.F.); +#16863=ADVANCED_FACE('',(#16862),#16856,.F.); +#16864=CARTESIAN_POINT('',(-3.81E0,-4.3625E0,-1.9E-1)); +#16865=AXIS2_PLACEMENT_3D('',#16864,#12464,#84); +#16866=PLANE('',#16865); +#16867=ORIENTED_EDGE('',*,*,#17949,.F.); +#16868=ORIENTED_EDGE('',*,*,#20127,.F.); +#16869=ORIENTED_EDGE('',*,*,#20132,.T.); +#16870=ORIENTED_EDGE('',*,*,#20130,.T.); +#16871=EDGE_LOOP('',(#16867,#16868,#16869,#16870)); +#16872=FACE_OUTER_BOUND('',#16871,.F.); +#16873=ADVANCED_FACE('',(#16872),#16866,.F.); +#16874=CARTESIAN_POINT('',(3.556E1,-7.62E0,-3.8E-1)); +#16875=AXIS2_PLACEMENT_3D('',#16874,#2100,#84); +#16876=PLANE('',#16875); +#16877=ORIENTED_EDGE('',*,*,#20126,.F.); +#16878=ORIENTED_EDGE('',*,*,#20129,.F.); +#16879=ORIENTED_EDGE('',*,*,#20131,.F.); +#16880=ORIENTED_EDGE('',*,*,#20132,.F.); +#16881=EDGE_LOOP('',(#16877,#16878,#16879,#16880)); +#16882=FACE_OUTER_BOUND('',#16881,.F.); +#16883=ADVANCED_FACE('',(#16882),#16876,.T.); +#16884=CARTESIAN_POINT('',(-1.825E0,-3.81E0,-1.9E-1)); +#16885=AXIS2_PLACEMENT_3D('',#16884,#12431,#72); +#16886=PLANE('',#16885); +#16887=ORIENTED_EDGE('',*,*,#17952,.F.); +#16888=ORIENTED_EDGE('',*,*,#20133,.F.); +#16889=ORIENTED_EDGE('',*,*,#20134,.T.); +#16890=ORIENTED_EDGE('',*,*,#20135,.T.); +#16891=EDGE_LOOP('',(#16887,#16888,#16889,#16890)); +#16892=FACE_OUTER_BOUND('',#16891,.F.); +#16893=ADVANCED_FACE('',(#16892),#16886,.F.); +#16894=CARTESIAN_POINT('',(-1.27E0,-3.2575E0,-1.9E-1)); +#16895=AXIS2_PLACEMENT_3D('',#16894,#12442,#76); +#16896=PLANE('',#16895); +#16897=ORIENTED_EDGE('',*,*,#17955,.F.); +#16898=ORIENTED_EDGE('',*,*,#20136,.F.); +#16899=ORIENTED_EDGE('',*,*,#20137,.T.); +#16900=ORIENTED_EDGE('',*,*,#20133,.T.); +#16901=EDGE_LOOP('',(#16897,#16898,#16899,#16900)); +#16902=FACE_OUTER_BOUND('',#16901,.F.); +#16903=ADVANCED_FACE('',(#16902),#16896,.F.); +#16904=CARTESIAN_POINT('',(-7.15E-1,-3.81E0,-1.9E-1)); +#16905=AXIS2_PLACEMENT_3D('',#16904,#12453,#80); +#16906=PLANE('',#16905); +#16907=ORIENTED_EDGE('',*,*,#17954,.F.); +#16908=ORIENTED_EDGE('',*,*,#20138,.F.); +#16909=ORIENTED_EDGE('',*,*,#20139,.T.); +#16910=ORIENTED_EDGE('',*,*,#20136,.T.); +#16911=EDGE_LOOP('',(#16907,#16908,#16909,#16910)); +#16912=FACE_OUTER_BOUND('',#16911,.F.); +#16913=ADVANCED_FACE('',(#16912),#16906,.F.); +#16914=CARTESIAN_POINT('',(-1.27E0,-4.3625E0,-1.9E-1)); +#16915=AXIS2_PLACEMENT_3D('',#16914,#12464,#84); +#16916=PLANE('',#16915); +#16917=ORIENTED_EDGE('',*,*,#17953,.F.); +#16918=ORIENTED_EDGE('',*,*,#20135,.F.); +#16919=ORIENTED_EDGE('',*,*,#20140,.T.); +#16920=ORIENTED_EDGE('',*,*,#20138,.T.); +#16921=EDGE_LOOP('',(#16917,#16918,#16919,#16920)); +#16922=FACE_OUTER_BOUND('',#16921,.F.); +#16923=ADVANCED_FACE('',(#16922),#16916,.F.); +#16924=CARTESIAN_POINT('',(3.81E1,-7.62E0,-3.8E-1)); +#16925=AXIS2_PLACEMENT_3D('',#16924,#2100,#84); +#16926=PLANE('',#16925); +#16927=ORIENTED_EDGE('',*,*,#20134,.F.); +#16928=ORIENTED_EDGE('',*,*,#20137,.F.); +#16929=ORIENTED_EDGE('',*,*,#20139,.F.); +#16930=ORIENTED_EDGE('',*,*,#20140,.F.); +#16931=EDGE_LOOP('',(#16927,#16928,#16929,#16930)); +#16932=FACE_OUTER_BOUND('',#16931,.F.); +#16933=ADVANCED_FACE('',(#16932),#16926,.T.); +#16934=CARTESIAN_POINT('',(7.15E-1,-3.81E0,-1.9E-1)); +#16935=AXIS2_PLACEMENT_3D('',#16934,#12431,#72); +#16936=PLANE('',#16935); +#16937=ORIENTED_EDGE('',*,*,#17956,.F.); +#16938=ORIENTED_EDGE('',*,*,#20141,.F.); +#16939=ORIENTED_EDGE('',*,*,#20142,.T.); +#16940=ORIENTED_EDGE('',*,*,#20143,.T.); +#16941=EDGE_LOOP('',(#16937,#16938,#16939,#16940)); +#16942=FACE_OUTER_BOUND('',#16941,.F.); +#16943=ADVANCED_FACE('',(#16942),#16936,.F.); +#16944=CARTESIAN_POINT('',(1.27E0,-3.2575E0,-1.9E-1)); +#16945=AXIS2_PLACEMENT_3D('',#16944,#12442,#76); +#16946=PLANE('',#16945); +#16947=ORIENTED_EDGE('',*,*,#17959,.F.); +#16948=ORIENTED_EDGE('',*,*,#20144,.F.); +#16949=ORIENTED_EDGE('',*,*,#20145,.T.); +#16950=ORIENTED_EDGE('',*,*,#20141,.T.); +#16951=EDGE_LOOP('',(#16947,#16948,#16949,#16950)); +#16952=FACE_OUTER_BOUND('',#16951,.F.); +#16953=ADVANCED_FACE('',(#16952),#16946,.F.); +#16954=CARTESIAN_POINT('',(1.825E0,-3.81E0,-1.9E-1)); +#16955=AXIS2_PLACEMENT_3D('',#16954,#12453,#80); +#16956=PLANE('',#16955); +#16957=ORIENTED_EDGE('',*,*,#17958,.F.); +#16958=ORIENTED_EDGE('',*,*,#20146,.F.); +#16959=ORIENTED_EDGE('',*,*,#20147,.T.); +#16960=ORIENTED_EDGE('',*,*,#20144,.T.); +#16961=EDGE_LOOP('',(#16957,#16958,#16959,#16960)); +#16962=FACE_OUTER_BOUND('',#16961,.F.); +#16963=ADVANCED_FACE('',(#16962),#16956,.F.); +#16964=CARTESIAN_POINT('',(1.27E0,-4.3625E0,-1.9E-1)); +#16965=AXIS2_PLACEMENT_3D('',#16964,#12464,#84); +#16966=PLANE('',#16965); +#16967=ORIENTED_EDGE('',*,*,#17957,.F.); +#16968=ORIENTED_EDGE('',*,*,#20143,.F.); +#16969=ORIENTED_EDGE('',*,*,#20148,.T.); +#16970=ORIENTED_EDGE('',*,*,#20146,.T.); +#16971=EDGE_LOOP('',(#16967,#16968,#16969,#16970)); +#16972=FACE_OUTER_BOUND('',#16971,.F.); +#16973=ADVANCED_FACE('',(#16972),#16966,.F.); +#16974=CARTESIAN_POINT('',(4.064E1,-7.62E0,-3.8E-1)); +#16975=AXIS2_PLACEMENT_3D('',#16974,#2100,#84); +#16976=PLANE('',#16975); +#16977=ORIENTED_EDGE('',*,*,#20142,.F.); +#16978=ORIENTED_EDGE('',*,*,#20145,.F.); +#16979=ORIENTED_EDGE('',*,*,#20147,.F.); +#16980=ORIENTED_EDGE('',*,*,#20148,.F.); +#16981=EDGE_LOOP('',(#16977,#16978,#16979,#16980)); +#16982=FACE_OUTER_BOUND('',#16981,.F.); +#16983=ADVANCED_FACE('',(#16982),#16976,.T.); +#16984=CARTESIAN_POINT('',(3.255E0,-3.81E0,-1.9E-1)); +#16985=AXIS2_PLACEMENT_3D('',#16984,#12431,#72); +#16986=PLANE('',#16985); +#16987=ORIENTED_EDGE('',*,*,#17960,.F.); +#16988=ORIENTED_EDGE('',*,*,#20149,.F.); +#16989=ORIENTED_EDGE('',*,*,#20150,.T.); +#16990=ORIENTED_EDGE('',*,*,#20151,.T.); +#16991=EDGE_LOOP('',(#16987,#16988,#16989,#16990)); +#16992=FACE_OUTER_BOUND('',#16991,.F.); +#16993=ADVANCED_FACE('',(#16992),#16986,.F.); +#16994=CARTESIAN_POINT('',(3.81E0,-3.2575E0,-1.9E-1)); +#16995=AXIS2_PLACEMENT_3D('',#16994,#12442,#76); +#16996=PLANE('',#16995); +#16997=ORIENTED_EDGE('',*,*,#17963,.F.); +#16998=ORIENTED_EDGE('',*,*,#20152,.F.); +#16999=ORIENTED_EDGE('',*,*,#20153,.T.); +#17000=ORIENTED_EDGE('',*,*,#20149,.T.); +#17001=EDGE_LOOP('',(#16997,#16998,#16999,#17000)); +#17002=FACE_OUTER_BOUND('',#17001,.F.); +#17003=ADVANCED_FACE('',(#17002),#16996,.F.); +#17004=CARTESIAN_POINT('',(4.365E0,-3.81E0,-1.9E-1)); +#17005=AXIS2_PLACEMENT_3D('',#17004,#12453,#80); +#17006=PLANE('',#17005); +#17007=ORIENTED_EDGE('',*,*,#17962,.F.); +#17008=ORIENTED_EDGE('',*,*,#20154,.F.); +#17009=ORIENTED_EDGE('',*,*,#20155,.T.); +#17010=ORIENTED_EDGE('',*,*,#20152,.T.); +#17011=EDGE_LOOP('',(#17007,#17008,#17009,#17010)); +#17012=FACE_OUTER_BOUND('',#17011,.F.); +#17013=ADVANCED_FACE('',(#17012),#17006,.F.); +#17014=CARTESIAN_POINT('',(3.81E0,-4.3625E0,-1.9E-1)); +#17015=AXIS2_PLACEMENT_3D('',#17014,#12464,#84); +#17016=PLANE('',#17015); +#17017=ORIENTED_EDGE('',*,*,#17961,.F.); +#17018=ORIENTED_EDGE('',*,*,#20151,.F.); +#17019=ORIENTED_EDGE('',*,*,#20156,.T.); +#17020=ORIENTED_EDGE('',*,*,#20154,.T.); +#17021=EDGE_LOOP('',(#17017,#17018,#17019,#17020)); +#17022=FACE_OUTER_BOUND('',#17021,.F.); +#17023=ADVANCED_FACE('',(#17022),#17016,.F.); +#17024=CARTESIAN_POINT('',(4.318E1,-7.62E0,-3.8E-1)); +#17025=AXIS2_PLACEMENT_3D('',#17024,#2100,#84); +#17026=PLANE('',#17025); +#17027=ORIENTED_EDGE('',*,*,#20150,.F.); +#17028=ORIENTED_EDGE('',*,*,#20153,.F.); +#17029=ORIENTED_EDGE('',*,*,#20155,.F.); +#17030=ORIENTED_EDGE('',*,*,#20156,.F.); +#17031=EDGE_LOOP('',(#17027,#17028,#17029,#17030)); +#17032=FACE_OUTER_BOUND('',#17031,.F.); +#17033=ADVANCED_FACE('',(#17032),#17026,.T.); +#17034=CARTESIAN_POINT('',(5.795E0,-3.81E0,-1.9E-1)); +#17035=AXIS2_PLACEMENT_3D('',#17034,#12431,#72); +#17036=PLANE('',#17035); +#17037=ORIENTED_EDGE('',*,*,#17964,.F.); +#17038=ORIENTED_EDGE('',*,*,#20157,.F.); +#17039=ORIENTED_EDGE('',*,*,#20158,.T.); +#17040=ORIENTED_EDGE('',*,*,#20159,.T.); +#17041=EDGE_LOOP('',(#17037,#17038,#17039,#17040)); +#17042=FACE_OUTER_BOUND('',#17041,.F.); +#17043=ADVANCED_FACE('',(#17042),#17036,.F.); +#17044=CARTESIAN_POINT('',(6.35E0,-3.2575E0,-1.9E-1)); +#17045=AXIS2_PLACEMENT_3D('',#17044,#12442,#76); +#17046=PLANE('',#17045); +#17047=ORIENTED_EDGE('',*,*,#17967,.F.); +#17048=ORIENTED_EDGE('',*,*,#20160,.F.); +#17049=ORIENTED_EDGE('',*,*,#20161,.T.); +#17050=ORIENTED_EDGE('',*,*,#20157,.T.); +#17051=EDGE_LOOP('',(#17047,#17048,#17049,#17050)); +#17052=FACE_OUTER_BOUND('',#17051,.F.); +#17053=ADVANCED_FACE('',(#17052),#17046,.F.); +#17054=CARTESIAN_POINT('',(6.905E0,-3.81E0,-1.9E-1)); +#17055=AXIS2_PLACEMENT_3D('',#17054,#12453,#80); +#17056=PLANE('',#17055); +#17057=ORIENTED_EDGE('',*,*,#17966,.F.); +#17058=ORIENTED_EDGE('',*,*,#20162,.F.); +#17059=ORIENTED_EDGE('',*,*,#20163,.T.); +#17060=ORIENTED_EDGE('',*,*,#20160,.T.); +#17061=EDGE_LOOP('',(#17057,#17058,#17059,#17060)); +#17062=FACE_OUTER_BOUND('',#17061,.F.); +#17063=ADVANCED_FACE('',(#17062),#17056,.F.); +#17064=CARTESIAN_POINT('',(6.35E0,-4.3625E0,-1.9E-1)); +#17065=AXIS2_PLACEMENT_3D('',#17064,#12464,#84); +#17066=PLANE('',#17065); +#17067=ORIENTED_EDGE('',*,*,#17965,.F.); +#17068=ORIENTED_EDGE('',*,*,#20159,.F.); +#17069=ORIENTED_EDGE('',*,*,#20164,.T.); +#17070=ORIENTED_EDGE('',*,*,#20162,.T.); +#17071=EDGE_LOOP('',(#17067,#17068,#17069,#17070)); +#17072=FACE_OUTER_BOUND('',#17071,.F.); +#17073=ADVANCED_FACE('',(#17072),#17066,.F.); +#17074=CARTESIAN_POINT('',(4.572E1,-7.62E0,-3.8E-1)); +#17075=AXIS2_PLACEMENT_3D('',#17074,#2100,#84); +#17076=PLANE('',#17075); +#17077=ORIENTED_EDGE('',*,*,#20158,.F.); +#17078=ORIENTED_EDGE('',*,*,#20161,.F.); +#17079=ORIENTED_EDGE('',*,*,#20163,.F.); +#17080=ORIENTED_EDGE('',*,*,#20164,.F.); +#17081=EDGE_LOOP('',(#17077,#17078,#17079,#17080)); +#17082=FACE_OUTER_BOUND('',#17081,.F.); +#17083=ADVANCED_FACE('',(#17082),#17076,.T.); +#17084=CARTESIAN_POINT('',(8.335E0,-3.81E0,-1.9E-1)); +#17085=AXIS2_PLACEMENT_3D('',#17084,#12431,#72); +#17086=PLANE('',#17085); +#17087=ORIENTED_EDGE('',*,*,#17968,.F.); +#17088=ORIENTED_EDGE('',*,*,#20165,.F.); +#17089=ORIENTED_EDGE('',*,*,#20166,.T.); +#17090=ORIENTED_EDGE('',*,*,#20167,.T.); +#17091=EDGE_LOOP('',(#17087,#17088,#17089,#17090)); +#17092=FACE_OUTER_BOUND('',#17091,.F.); +#17093=ADVANCED_FACE('',(#17092),#17086,.F.); +#17094=CARTESIAN_POINT('',(8.89E0,-3.2575E0,-1.9E-1)); +#17095=AXIS2_PLACEMENT_3D('',#17094,#12442,#76); +#17096=PLANE('',#17095); +#17097=ORIENTED_EDGE('',*,*,#17971,.F.); +#17098=ORIENTED_EDGE('',*,*,#20168,.F.); +#17099=ORIENTED_EDGE('',*,*,#20169,.T.); +#17100=ORIENTED_EDGE('',*,*,#20165,.T.); +#17101=EDGE_LOOP('',(#17097,#17098,#17099,#17100)); +#17102=FACE_OUTER_BOUND('',#17101,.F.); +#17103=ADVANCED_FACE('',(#17102),#17096,.F.); +#17104=CARTESIAN_POINT('',(9.445E0,-3.81E0,-1.9E-1)); +#17105=AXIS2_PLACEMENT_3D('',#17104,#12453,#80); +#17106=PLANE('',#17105); +#17107=ORIENTED_EDGE('',*,*,#17970,.F.); +#17108=ORIENTED_EDGE('',*,*,#20170,.F.); +#17109=ORIENTED_EDGE('',*,*,#20171,.T.); +#17110=ORIENTED_EDGE('',*,*,#20168,.T.); +#17111=EDGE_LOOP('',(#17107,#17108,#17109,#17110)); +#17112=FACE_OUTER_BOUND('',#17111,.F.); +#17113=ADVANCED_FACE('',(#17112),#17106,.F.); +#17114=CARTESIAN_POINT('',(8.89E0,-4.3625E0,-1.9E-1)); +#17115=AXIS2_PLACEMENT_3D('',#17114,#12464,#84); +#17116=PLANE('',#17115); +#17117=ORIENTED_EDGE('',*,*,#17969,.F.); +#17118=ORIENTED_EDGE('',*,*,#20167,.F.); +#17119=ORIENTED_EDGE('',*,*,#20172,.T.); +#17120=ORIENTED_EDGE('',*,*,#20170,.T.); +#17121=EDGE_LOOP('',(#17117,#17118,#17119,#17120)); +#17122=FACE_OUTER_BOUND('',#17121,.F.); +#17123=ADVANCED_FACE('',(#17122),#17116,.F.); +#17124=CARTESIAN_POINT('',(4.826E1,-7.62E0,-3.8E-1)); +#17125=AXIS2_PLACEMENT_3D('',#17124,#2100,#84); +#17126=PLANE('',#17125); +#17127=ORIENTED_EDGE('',*,*,#20166,.F.); +#17128=ORIENTED_EDGE('',*,*,#20169,.F.); +#17129=ORIENTED_EDGE('',*,*,#20171,.F.); +#17130=ORIENTED_EDGE('',*,*,#20172,.F.); +#17131=EDGE_LOOP('',(#17127,#17128,#17129,#17130)); +#17132=FACE_OUTER_BOUND('',#17131,.F.); +#17133=ADVANCED_FACE('',(#17132),#17126,.T.); +#17134=CARTESIAN_POINT('',(1.0875E1,-3.81E0,-1.9E-1)); +#17135=AXIS2_PLACEMENT_3D('',#17134,#12431,#72); +#17136=PLANE('',#17135); +#17137=ORIENTED_EDGE('',*,*,#17972,.F.); +#17138=ORIENTED_EDGE('',*,*,#20173,.F.); +#17139=ORIENTED_EDGE('',*,*,#20174,.T.); +#17140=ORIENTED_EDGE('',*,*,#20175,.T.); +#17141=EDGE_LOOP('',(#17137,#17138,#17139,#17140)); +#17142=FACE_OUTER_BOUND('',#17141,.F.); +#17143=ADVANCED_FACE('',(#17142),#17136,.F.); +#17144=CARTESIAN_POINT('',(1.143E1,-3.2575E0,-1.9E-1)); +#17145=AXIS2_PLACEMENT_3D('',#17144,#12442,#76); +#17146=PLANE('',#17145); +#17147=ORIENTED_EDGE('',*,*,#17975,.F.); +#17148=ORIENTED_EDGE('',*,*,#20176,.F.); +#17149=ORIENTED_EDGE('',*,*,#20177,.T.); +#17150=ORIENTED_EDGE('',*,*,#20173,.T.); +#17151=EDGE_LOOP('',(#17147,#17148,#17149,#17150)); +#17152=FACE_OUTER_BOUND('',#17151,.F.); +#17153=ADVANCED_FACE('',(#17152),#17146,.F.); +#17154=CARTESIAN_POINT('',(1.1985E1,-3.81E0,-1.9E-1)); +#17155=AXIS2_PLACEMENT_3D('',#17154,#12453,#80); +#17156=PLANE('',#17155); +#17157=ORIENTED_EDGE('',*,*,#17974,.F.); +#17158=ORIENTED_EDGE('',*,*,#20178,.F.); +#17159=ORIENTED_EDGE('',*,*,#20179,.T.); +#17160=ORIENTED_EDGE('',*,*,#20176,.T.); +#17161=EDGE_LOOP('',(#17157,#17158,#17159,#17160)); +#17162=FACE_OUTER_BOUND('',#17161,.F.); +#17163=ADVANCED_FACE('',(#17162),#17156,.F.); +#17164=CARTESIAN_POINT('',(1.143E1,-4.3625E0,-1.9E-1)); +#17165=AXIS2_PLACEMENT_3D('',#17164,#12464,#84); +#17166=PLANE('',#17165); +#17167=ORIENTED_EDGE('',*,*,#17973,.F.); +#17168=ORIENTED_EDGE('',*,*,#20175,.F.); +#17169=ORIENTED_EDGE('',*,*,#20180,.T.); +#17170=ORIENTED_EDGE('',*,*,#20178,.T.); +#17171=EDGE_LOOP('',(#17167,#17168,#17169,#17170)); +#17172=FACE_OUTER_BOUND('',#17171,.F.); +#17173=ADVANCED_FACE('',(#17172),#17166,.F.); +#17174=CARTESIAN_POINT('',(5.08E1,-7.62E0,-3.8E-1)); +#17175=AXIS2_PLACEMENT_3D('',#17174,#2100,#84); +#17176=PLANE('',#17175); +#17177=ORIENTED_EDGE('',*,*,#20174,.F.); +#17178=ORIENTED_EDGE('',*,*,#20177,.F.); +#17179=ORIENTED_EDGE('',*,*,#20179,.F.); +#17180=ORIENTED_EDGE('',*,*,#20180,.F.); +#17181=EDGE_LOOP('',(#17177,#17178,#17179,#17180)); +#17182=FACE_OUTER_BOUND('',#17181,.F.); +#17183=ADVANCED_FACE('',(#17182),#17176,.T.); +#17184=CARTESIAN_POINT('',(1.3415E1,-3.81E0,-1.9E-1)); +#17185=AXIS2_PLACEMENT_3D('',#17184,#12431,#72); +#17186=PLANE('',#17185); +#17187=ORIENTED_EDGE('',*,*,#17976,.F.); +#17188=ORIENTED_EDGE('',*,*,#20181,.F.); +#17189=ORIENTED_EDGE('',*,*,#20182,.T.); +#17190=ORIENTED_EDGE('',*,*,#20183,.T.); +#17191=EDGE_LOOP('',(#17187,#17188,#17189,#17190)); +#17192=FACE_OUTER_BOUND('',#17191,.F.); +#17193=ADVANCED_FACE('',(#17192),#17186,.F.); +#17194=CARTESIAN_POINT('',(1.397E1,-3.2575E0,-1.9E-1)); +#17195=AXIS2_PLACEMENT_3D('',#17194,#12442,#76); +#17196=PLANE('',#17195); +#17197=ORIENTED_EDGE('',*,*,#17979,.F.); +#17198=ORIENTED_EDGE('',*,*,#20184,.F.); +#17199=ORIENTED_EDGE('',*,*,#20185,.T.); +#17200=ORIENTED_EDGE('',*,*,#20181,.T.); +#17201=EDGE_LOOP('',(#17197,#17198,#17199,#17200)); +#17202=FACE_OUTER_BOUND('',#17201,.F.); +#17203=ADVANCED_FACE('',(#17202),#17196,.F.); +#17204=CARTESIAN_POINT('',(1.4525E1,-3.81E0,-1.9E-1)); +#17205=AXIS2_PLACEMENT_3D('',#17204,#12453,#80); +#17206=PLANE('',#17205); +#17207=ORIENTED_EDGE('',*,*,#17978,.F.); +#17208=ORIENTED_EDGE('',*,*,#20186,.F.); +#17209=ORIENTED_EDGE('',*,*,#20187,.T.); +#17210=ORIENTED_EDGE('',*,*,#20184,.T.); +#17211=EDGE_LOOP('',(#17207,#17208,#17209,#17210)); +#17212=FACE_OUTER_BOUND('',#17211,.F.); +#17213=ADVANCED_FACE('',(#17212),#17206,.F.); +#17214=CARTESIAN_POINT('',(1.397E1,-4.3625E0,-1.9E-1)); +#17215=AXIS2_PLACEMENT_3D('',#17214,#12464,#84); +#17216=PLANE('',#17215); +#17217=ORIENTED_EDGE('',*,*,#17977,.F.); +#17218=ORIENTED_EDGE('',*,*,#20183,.F.); +#17219=ORIENTED_EDGE('',*,*,#20188,.T.); +#17220=ORIENTED_EDGE('',*,*,#20186,.T.); +#17221=EDGE_LOOP('',(#17217,#17218,#17219,#17220)); +#17222=FACE_OUTER_BOUND('',#17221,.F.); +#17223=ADVANCED_FACE('',(#17222),#17216,.F.); +#17224=CARTESIAN_POINT('',(5.334E1,-7.62E0,-3.8E-1)); +#17225=AXIS2_PLACEMENT_3D('',#17224,#2100,#84); +#17226=PLANE('',#17225); +#17227=ORIENTED_EDGE('',*,*,#20182,.F.); +#17228=ORIENTED_EDGE('',*,*,#20185,.F.); +#17229=ORIENTED_EDGE('',*,*,#20187,.F.); +#17230=ORIENTED_EDGE('',*,*,#20188,.F.); +#17231=EDGE_LOOP('',(#17227,#17228,#17229,#17230)); +#17232=FACE_OUTER_BOUND('',#17231,.F.); +#17233=ADVANCED_FACE('',(#17232),#17226,.T.); +#17234=CARTESIAN_POINT('',(1.5955E1,-3.81E0,-1.9E-1)); +#17235=AXIS2_PLACEMENT_3D('',#17234,#12431,#72); +#17236=PLANE('',#17235); +#17237=ORIENTED_EDGE('',*,*,#17980,.F.); +#17238=ORIENTED_EDGE('',*,*,#20189,.F.); +#17239=ORIENTED_EDGE('',*,*,#20190,.T.); +#17240=ORIENTED_EDGE('',*,*,#20191,.T.); +#17241=EDGE_LOOP('',(#17237,#17238,#17239,#17240)); +#17242=FACE_OUTER_BOUND('',#17241,.F.); +#17243=ADVANCED_FACE('',(#17242),#17236,.F.); +#17244=CARTESIAN_POINT('',(1.651E1,-3.2575E0,-1.9E-1)); +#17245=AXIS2_PLACEMENT_3D('',#17244,#12442,#76); +#17246=PLANE('',#17245); +#17247=ORIENTED_EDGE('',*,*,#17983,.F.); +#17248=ORIENTED_EDGE('',*,*,#20192,.F.); +#17249=ORIENTED_EDGE('',*,*,#20193,.T.); +#17250=ORIENTED_EDGE('',*,*,#20189,.T.); +#17251=EDGE_LOOP('',(#17247,#17248,#17249,#17250)); +#17252=FACE_OUTER_BOUND('',#17251,.F.); +#17253=ADVANCED_FACE('',(#17252),#17246,.F.); +#17254=CARTESIAN_POINT('',(1.7065E1,-3.81E0,-1.9E-1)); +#17255=AXIS2_PLACEMENT_3D('',#17254,#12453,#80); +#17256=PLANE('',#17255); +#17257=ORIENTED_EDGE('',*,*,#17982,.F.); +#17258=ORIENTED_EDGE('',*,*,#20194,.F.); +#17259=ORIENTED_EDGE('',*,*,#20195,.T.); +#17260=ORIENTED_EDGE('',*,*,#20192,.T.); +#17261=EDGE_LOOP('',(#17257,#17258,#17259,#17260)); +#17262=FACE_OUTER_BOUND('',#17261,.F.); +#17263=ADVANCED_FACE('',(#17262),#17256,.F.); +#17264=CARTESIAN_POINT('',(1.651E1,-4.3625E0,-1.9E-1)); +#17265=AXIS2_PLACEMENT_3D('',#17264,#12464,#84); +#17266=PLANE('',#17265); +#17267=ORIENTED_EDGE('',*,*,#17981,.F.); +#17268=ORIENTED_EDGE('',*,*,#20191,.F.); +#17269=ORIENTED_EDGE('',*,*,#20196,.T.); +#17270=ORIENTED_EDGE('',*,*,#20194,.T.); +#17271=EDGE_LOOP('',(#17267,#17268,#17269,#17270)); +#17272=FACE_OUTER_BOUND('',#17271,.F.); +#17273=ADVANCED_FACE('',(#17272),#17266,.F.); +#17274=CARTESIAN_POINT('',(5.588E1,-7.62E0,-3.8E-1)); +#17275=AXIS2_PLACEMENT_3D('',#17274,#2100,#84); +#17276=PLANE('',#17275); +#17277=ORIENTED_EDGE('',*,*,#20190,.F.); +#17278=ORIENTED_EDGE('',*,*,#20193,.F.); +#17279=ORIENTED_EDGE('',*,*,#20195,.F.); +#17280=ORIENTED_EDGE('',*,*,#20196,.F.); +#17281=EDGE_LOOP('',(#17277,#17278,#17279,#17280)); +#17282=FACE_OUTER_BOUND('',#17281,.F.); +#17283=ADVANCED_FACE('',(#17282),#17276,.T.); +#17284=CARTESIAN_POINT('',(1.8495E1,-3.81E0,-1.9E-1)); +#17285=AXIS2_PLACEMENT_3D('',#17284,#12431,#72); +#17286=PLANE('',#17285); +#17287=ORIENTED_EDGE('',*,*,#17984,.F.); +#17288=ORIENTED_EDGE('',*,*,#20197,.F.); +#17289=ORIENTED_EDGE('',*,*,#20198,.T.); +#17290=ORIENTED_EDGE('',*,*,#20199,.T.); +#17291=EDGE_LOOP('',(#17287,#17288,#17289,#17290)); +#17292=FACE_OUTER_BOUND('',#17291,.F.); +#17293=ADVANCED_FACE('',(#17292),#17286,.F.); +#17294=CARTESIAN_POINT('',(1.905E1,-3.2575E0,-1.9E-1)); +#17295=AXIS2_PLACEMENT_3D('',#17294,#12442,#76); +#17296=PLANE('',#17295); +#17297=ORIENTED_EDGE('',*,*,#17987,.F.); +#17298=ORIENTED_EDGE('',*,*,#20200,.F.); +#17299=ORIENTED_EDGE('',*,*,#20201,.T.); +#17300=ORIENTED_EDGE('',*,*,#20197,.T.); +#17301=EDGE_LOOP('',(#17297,#17298,#17299,#17300)); +#17302=FACE_OUTER_BOUND('',#17301,.F.); +#17303=ADVANCED_FACE('',(#17302),#17296,.F.); +#17304=CARTESIAN_POINT('',(1.9605E1,-3.81E0,-1.9E-1)); +#17305=AXIS2_PLACEMENT_3D('',#17304,#12453,#80); +#17306=PLANE('',#17305); +#17307=ORIENTED_EDGE('',*,*,#17986,.F.); +#17308=ORIENTED_EDGE('',*,*,#20202,.F.); +#17309=ORIENTED_EDGE('',*,*,#20203,.T.); +#17310=ORIENTED_EDGE('',*,*,#20200,.T.); +#17311=EDGE_LOOP('',(#17307,#17308,#17309,#17310)); +#17312=FACE_OUTER_BOUND('',#17311,.F.); +#17313=ADVANCED_FACE('',(#17312),#17306,.F.); +#17314=CARTESIAN_POINT('',(1.905E1,-4.3625E0,-1.9E-1)); +#17315=AXIS2_PLACEMENT_3D('',#17314,#12464,#84); +#17316=PLANE('',#17315); +#17317=ORIENTED_EDGE('',*,*,#17985,.F.); +#17318=ORIENTED_EDGE('',*,*,#20199,.F.); +#17319=ORIENTED_EDGE('',*,*,#20204,.T.); +#17320=ORIENTED_EDGE('',*,*,#20202,.T.); +#17321=EDGE_LOOP('',(#17317,#17318,#17319,#17320)); +#17322=FACE_OUTER_BOUND('',#17321,.F.); +#17323=ADVANCED_FACE('',(#17322),#17316,.F.); +#17324=CARTESIAN_POINT('',(5.842E1,-7.62E0,-3.8E-1)); +#17325=AXIS2_PLACEMENT_3D('',#17324,#2100,#84); +#17326=PLANE('',#17325); +#17327=ORIENTED_EDGE('',*,*,#20198,.F.); +#17328=ORIENTED_EDGE('',*,*,#20201,.F.); +#17329=ORIENTED_EDGE('',*,*,#20203,.F.); +#17330=ORIENTED_EDGE('',*,*,#20204,.F.); +#17331=EDGE_LOOP('',(#17327,#17328,#17329,#17330)); +#17332=FACE_OUTER_BOUND('',#17331,.F.); +#17333=ADVANCED_FACE('',(#17332),#17326,.T.); +#17334=CARTESIAN_POINT('',(2.1035E1,-3.81E0,-1.9E-1)); +#17335=AXIS2_PLACEMENT_3D('',#17334,#12431,#72); +#17336=PLANE('',#17335); +#17337=ORIENTED_EDGE('',*,*,#17988,.F.); +#17338=ORIENTED_EDGE('',*,*,#20205,.F.); +#17339=ORIENTED_EDGE('',*,*,#20206,.T.); +#17340=ORIENTED_EDGE('',*,*,#20207,.T.); +#17341=EDGE_LOOP('',(#17337,#17338,#17339,#17340)); +#17342=FACE_OUTER_BOUND('',#17341,.F.); +#17343=ADVANCED_FACE('',(#17342),#17336,.F.); +#17344=CARTESIAN_POINT('',(2.159E1,-3.2575E0,-1.9E-1)); +#17345=AXIS2_PLACEMENT_3D('',#17344,#12442,#76); +#17346=PLANE('',#17345); +#17347=ORIENTED_EDGE('',*,*,#17991,.F.); +#17348=ORIENTED_EDGE('',*,*,#20208,.F.); +#17349=ORIENTED_EDGE('',*,*,#20209,.T.); +#17350=ORIENTED_EDGE('',*,*,#20205,.T.); +#17351=EDGE_LOOP('',(#17347,#17348,#17349,#17350)); +#17352=FACE_OUTER_BOUND('',#17351,.F.); +#17353=ADVANCED_FACE('',(#17352),#17346,.F.); +#17354=CARTESIAN_POINT('',(2.2145E1,-3.81E0,-1.9E-1)); +#17355=AXIS2_PLACEMENT_3D('',#17354,#12453,#80); +#17356=PLANE('',#17355); +#17357=ORIENTED_EDGE('',*,*,#17990,.F.); +#17358=ORIENTED_EDGE('',*,*,#20210,.F.); +#17359=ORIENTED_EDGE('',*,*,#20211,.T.); +#17360=ORIENTED_EDGE('',*,*,#20208,.T.); +#17361=EDGE_LOOP('',(#17357,#17358,#17359,#17360)); +#17362=FACE_OUTER_BOUND('',#17361,.F.); +#17363=ADVANCED_FACE('',(#17362),#17356,.F.); +#17364=CARTESIAN_POINT('',(2.159E1,-4.3625E0,-1.9E-1)); +#17365=AXIS2_PLACEMENT_3D('',#17364,#12464,#84); +#17366=PLANE('',#17365); +#17367=ORIENTED_EDGE('',*,*,#17989,.F.); +#17368=ORIENTED_EDGE('',*,*,#20207,.F.); +#17369=ORIENTED_EDGE('',*,*,#20212,.T.); +#17370=ORIENTED_EDGE('',*,*,#20210,.T.); +#17371=EDGE_LOOP('',(#17367,#17368,#17369,#17370)); +#17372=FACE_OUTER_BOUND('',#17371,.F.); +#17373=ADVANCED_FACE('',(#17372),#17366,.F.); +#17374=CARTESIAN_POINT('',(6.096E1,-7.62E0,-3.8E-1)); +#17375=AXIS2_PLACEMENT_3D('',#17374,#2100,#84); +#17376=PLANE('',#17375); +#17377=ORIENTED_EDGE('',*,*,#20206,.F.); +#17378=ORIENTED_EDGE('',*,*,#20209,.F.); +#17379=ORIENTED_EDGE('',*,*,#20211,.F.); +#17380=ORIENTED_EDGE('',*,*,#20212,.F.); +#17381=EDGE_LOOP('',(#17377,#17378,#17379,#17380)); +#17382=FACE_OUTER_BOUND('',#17381,.F.); +#17383=ADVANCED_FACE('',(#17382),#17376,.T.); +#17384=CARTESIAN_POINT('',(2.3575E1,-3.81E0,-1.9E-1)); +#17385=AXIS2_PLACEMENT_3D('',#17384,#12431,#72); +#17386=PLANE('',#17385); +#17387=ORIENTED_EDGE('',*,*,#17992,.F.); +#17388=ORIENTED_EDGE('',*,*,#20213,.F.); +#17389=ORIENTED_EDGE('',*,*,#20214,.T.); +#17390=ORIENTED_EDGE('',*,*,#20215,.T.); +#17391=EDGE_LOOP('',(#17387,#17388,#17389,#17390)); +#17392=FACE_OUTER_BOUND('',#17391,.F.); +#17393=ADVANCED_FACE('',(#17392),#17386,.F.); +#17394=CARTESIAN_POINT('',(2.413E1,-3.2575E0,-1.9E-1)); +#17395=AXIS2_PLACEMENT_3D('',#17394,#12442,#76); +#17396=PLANE('',#17395); +#17397=ORIENTED_EDGE('',*,*,#17995,.F.); +#17398=ORIENTED_EDGE('',*,*,#20216,.F.); +#17399=ORIENTED_EDGE('',*,*,#20217,.T.); +#17400=ORIENTED_EDGE('',*,*,#20213,.T.); +#17401=EDGE_LOOP('',(#17397,#17398,#17399,#17400)); +#17402=FACE_OUTER_BOUND('',#17401,.F.); +#17403=ADVANCED_FACE('',(#17402),#17396,.F.); +#17404=CARTESIAN_POINT('',(2.4685E1,-3.81E0,-1.9E-1)); +#17405=AXIS2_PLACEMENT_3D('',#17404,#12453,#80); +#17406=PLANE('',#17405); +#17407=ORIENTED_EDGE('',*,*,#17994,.F.); +#17408=ORIENTED_EDGE('',*,*,#20218,.F.); +#17409=ORIENTED_EDGE('',*,*,#20219,.T.); +#17410=ORIENTED_EDGE('',*,*,#20216,.T.); +#17411=EDGE_LOOP('',(#17407,#17408,#17409,#17410)); +#17412=FACE_OUTER_BOUND('',#17411,.F.); +#17413=ADVANCED_FACE('',(#17412),#17406,.F.); +#17414=CARTESIAN_POINT('',(2.413E1,-4.3625E0,-1.9E-1)); +#17415=AXIS2_PLACEMENT_3D('',#17414,#12464,#84); +#17416=PLANE('',#17415); +#17417=ORIENTED_EDGE('',*,*,#17993,.F.); +#17418=ORIENTED_EDGE('',*,*,#20215,.F.); +#17419=ORIENTED_EDGE('',*,*,#20220,.T.); +#17420=ORIENTED_EDGE('',*,*,#20218,.T.); +#17421=EDGE_LOOP('',(#17417,#17418,#17419,#17420)); +#17422=FACE_OUTER_BOUND('',#17421,.F.); +#17423=ADVANCED_FACE('',(#17422),#17416,.F.); +#17424=CARTESIAN_POINT('',(6.35E1,-7.62E0,-3.8E-1)); +#17425=AXIS2_PLACEMENT_3D('',#17424,#2100,#84); +#17426=PLANE('',#17425); +#17427=ORIENTED_EDGE('',*,*,#20214,.F.); +#17428=ORIENTED_EDGE('',*,*,#20217,.F.); +#17429=ORIENTED_EDGE('',*,*,#20219,.F.); +#17430=ORIENTED_EDGE('',*,*,#20220,.F.); +#17431=EDGE_LOOP('',(#17427,#17428,#17429,#17430)); +#17432=FACE_OUTER_BOUND('',#17431,.F.); +#17433=ADVANCED_FACE('',(#17432),#17426,.T.); +#17434=CARTESIAN_POINT('',(2.6115E1,-3.81E0,-1.9E-1)); +#17435=AXIS2_PLACEMENT_3D('',#17434,#12431,#72); +#17436=PLANE('',#17435); +#17437=ORIENTED_EDGE('',*,*,#17996,.F.); +#17438=ORIENTED_EDGE('',*,*,#20221,.F.); +#17439=ORIENTED_EDGE('',*,*,#20222,.T.); +#17440=ORIENTED_EDGE('',*,*,#20223,.T.); +#17441=EDGE_LOOP('',(#17437,#17438,#17439,#17440)); +#17442=FACE_OUTER_BOUND('',#17441,.F.); +#17443=ADVANCED_FACE('',(#17442),#17436,.F.); +#17444=CARTESIAN_POINT('',(2.667E1,-3.2575E0,-1.9E-1)); +#17445=AXIS2_PLACEMENT_3D('',#17444,#12442,#76); +#17446=PLANE('',#17445); +#17447=ORIENTED_EDGE('',*,*,#17999,.F.); +#17448=ORIENTED_EDGE('',*,*,#20224,.F.); +#17449=ORIENTED_EDGE('',*,*,#20225,.T.); +#17450=ORIENTED_EDGE('',*,*,#20221,.T.); +#17451=EDGE_LOOP('',(#17447,#17448,#17449,#17450)); +#17452=FACE_OUTER_BOUND('',#17451,.F.); +#17453=ADVANCED_FACE('',(#17452),#17446,.F.); +#17454=CARTESIAN_POINT('',(2.7225E1,-3.81E0,-1.9E-1)); +#17455=AXIS2_PLACEMENT_3D('',#17454,#12453,#80); +#17456=PLANE('',#17455); +#17457=ORIENTED_EDGE('',*,*,#17998,.F.); +#17458=ORIENTED_EDGE('',*,*,#20226,.F.); +#17459=ORIENTED_EDGE('',*,*,#20227,.T.); +#17460=ORIENTED_EDGE('',*,*,#20224,.T.); +#17461=EDGE_LOOP('',(#17457,#17458,#17459,#17460)); +#17462=FACE_OUTER_BOUND('',#17461,.F.); +#17463=ADVANCED_FACE('',(#17462),#17456,.F.); +#17464=CARTESIAN_POINT('',(2.667E1,-4.3625E0,-1.9E-1)); +#17465=AXIS2_PLACEMENT_3D('',#17464,#12464,#84); +#17466=PLANE('',#17465); +#17467=ORIENTED_EDGE('',*,*,#17997,.F.); +#17468=ORIENTED_EDGE('',*,*,#20223,.F.); +#17469=ORIENTED_EDGE('',*,*,#20228,.T.); +#17470=ORIENTED_EDGE('',*,*,#20226,.T.); +#17471=EDGE_LOOP('',(#17467,#17468,#17469,#17470)); +#17472=FACE_OUTER_BOUND('',#17471,.F.); +#17473=ADVANCED_FACE('',(#17472),#17466,.F.); +#17474=CARTESIAN_POINT('',(6.604E1,-7.62E0,-3.8E-1)); +#17475=AXIS2_PLACEMENT_3D('',#17474,#2100,#84); +#17476=PLANE('',#17475); +#17477=ORIENTED_EDGE('',*,*,#20222,.F.); +#17478=ORIENTED_EDGE('',*,*,#20225,.F.); +#17479=ORIENTED_EDGE('',*,*,#20227,.F.); +#17480=ORIENTED_EDGE('',*,*,#20228,.F.); +#17481=EDGE_LOOP('',(#17477,#17478,#17479,#17480)); +#17482=FACE_OUTER_BOUND('',#17481,.F.); +#17483=ADVANCED_FACE('',(#17482),#17476,.T.); +#17484=CARTESIAN_POINT('',(2.8655E1,-3.81E0,-1.9E-1)); +#17485=AXIS2_PLACEMENT_3D('',#17484,#12431,#72); +#17486=PLANE('',#17485); +#17487=ORIENTED_EDGE('',*,*,#18000,.F.); +#17488=ORIENTED_EDGE('',*,*,#20229,.F.); +#17489=ORIENTED_EDGE('',*,*,#20230,.T.); +#17490=ORIENTED_EDGE('',*,*,#20231,.T.); +#17491=EDGE_LOOP('',(#17487,#17488,#17489,#17490)); +#17492=FACE_OUTER_BOUND('',#17491,.F.); +#17493=ADVANCED_FACE('',(#17492),#17486,.F.); +#17494=CARTESIAN_POINT('',(2.921E1,-3.2575E0,-1.9E-1)); +#17495=AXIS2_PLACEMENT_3D('',#17494,#12442,#76); +#17496=PLANE('',#17495); +#17497=ORIENTED_EDGE('',*,*,#18003,.F.); +#17498=ORIENTED_EDGE('',*,*,#20232,.F.); +#17499=ORIENTED_EDGE('',*,*,#20233,.T.); +#17500=ORIENTED_EDGE('',*,*,#20229,.T.); +#17501=EDGE_LOOP('',(#17497,#17498,#17499,#17500)); +#17502=FACE_OUTER_BOUND('',#17501,.F.); +#17503=ADVANCED_FACE('',(#17502),#17496,.F.); +#17504=CARTESIAN_POINT('',(2.9765E1,-3.81E0,-1.9E-1)); +#17505=AXIS2_PLACEMENT_3D('',#17504,#12453,#80); +#17506=PLANE('',#17505); +#17507=ORIENTED_EDGE('',*,*,#18002,.F.); +#17508=ORIENTED_EDGE('',*,*,#20234,.F.); +#17509=ORIENTED_EDGE('',*,*,#20235,.T.); +#17510=ORIENTED_EDGE('',*,*,#20232,.T.); +#17511=EDGE_LOOP('',(#17507,#17508,#17509,#17510)); +#17512=FACE_OUTER_BOUND('',#17511,.F.); +#17513=ADVANCED_FACE('',(#17512),#17506,.F.); +#17514=CARTESIAN_POINT('',(2.921E1,-4.3625E0,-1.9E-1)); +#17515=AXIS2_PLACEMENT_3D('',#17514,#12464,#84); +#17516=PLANE('',#17515); +#17517=ORIENTED_EDGE('',*,*,#18001,.F.); +#17518=ORIENTED_EDGE('',*,*,#20231,.F.); +#17519=ORIENTED_EDGE('',*,*,#20236,.T.); +#17520=ORIENTED_EDGE('',*,*,#20234,.T.); +#17521=EDGE_LOOP('',(#17517,#17518,#17519,#17520)); +#17522=FACE_OUTER_BOUND('',#17521,.F.); +#17523=ADVANCED_FACE('',(#17522),#17516,.F.); +#17524=CARTESIAN_POINT('',(6.858E1,-7.62E0,-3.8E-1)); +#17525=AXIS2_PLACEMENT_3D('',#17524,#2100,#84); +#17526=PLANE('',#17525); +#17527=ORIENTED_EDGE('',*,*,#20230,.F.); +#17528=ORIENTED_EDGE('',*,*,#20233,.F.); +#17529=ORIENTED_EDGE('',*,*,#20235,.F.); +#17530=ORIENTED_EDGE('',*,*,#20236,.F.); +#17531=EDGE_LOOP('',(#17527,#17528,#17529,#17530)); +#17532=FACE_OUTER_BOUND('',#17531,.F.); +#17533=ADVANCED_FACE('',(#17532),#17526,.T.); +#17534=CLOSED_SHELL('',(#6946,#6959,#6969,#6980,#6987,#7121,#7446,#7455,#7464,#7473,#7482,#7492,#7501,#7510,#7519,#7528,#7535,#7544,#7553,#7562,#7571,#7578,#7587,#7596,#7605,#7614,#7621,#7630,#7639,#7648,#7657,#7664,#7673,#7682,#7691,#7700,#7707,#7716,#7725,#7734,#7743,#7750,#7759,#7768,#7777,#7786,#7793,#7802,#7811,#7820,#7829,#7836,#7845,#7854,#7863,#7872,#7879,#7888,#7897,#7906,#7915,#7922,#7931,#7940,#7949,#7958,#7965,#7974,#7983,#7993,#8002,#8009,#8018,#8027,#8036,#8045,#8052,#8061,#8070,#8079,#8088,#8095,#8104,#8113,#8122,#8131,#8138,#8147,#8156,#8165,#8174,#8181,#8190,#8199,#8208,#8217,#8224,#8233,#8242,#8251,#8260,#8267,#8276,#8285,#8294,#8303,#8310,#8319,#8328,#8337,#8346,#8353,#8362,#8371,#8380,#8389,#8396,#8405,#8414,#8423,#8432,#8439,#8448,#8457,#8466,#8475,#8482,#8491,#8500,#8509,#8518,#8525,#8534,#8543,#8552,#8561,#8568,#8577,#8586,#8595,#8604,#8611,#8620,#8629,#8638,#8647,#8654,#8663,#8672,#8681,#8690,#8697,#8706,#8715,#8724,#8733,#8740,#8749,#8758,#8767,#8776,#8783,#8792,#8801,#8810,#8819,#8826,#8837,#8847,#8857,#8866,#8873,#8884,#8894,#8904,#8913,#8920,#8931,#8941,#8951,#8960,#8967,#8978,#8988,#8998,#9007,#9014,#9025,#9035,#9045,#9054,#9061,#9072,#9082,#9092,#9101,#9108,#9119,#9129,#9139,#9148,#9155,#9166,#9176,#9186,#9195,#9202,#9213,#9223,#9233,#9242,#9249,#9258,#9271,#9280,#9293,#9303,#9316,#9325,#9334,#9424,#9434,#9638,#9647,#9656,#9665,#9674,#9681,#9690,#9699,#9708,#9717,#9724,#9733,#9742,#9751,#9760,#9767,#9776,#9785,#9795,#9804,#9811,#9820,#9829,#9838,#9847,#9854,#9863,#9872,#9881,#9890,#9897,#9906,#9915,#9924,#9933,#9940,#9949,#9958,#9967,#9976,#9983,#9992,#10001,#10010,#10019,#10026,#10035,#10044,#10053,#10062,#10069,#10078,#10087,#10096,#10105,#10112,#10121,#10130,#10139,#10148,#10155,#10164,#10173,#10182,#10191,#10198,#10207,#10216,#10225,#10234,#10241,#10250,#10259,#10268,#10277,#10284,#10293,#10302,#10311,#10320,#10327,#10336,#10345,#10354,#10363,#10370,#10379,#10388,#10397,#10406,#10413,#10422,#10431,#10440,#10449,#10456,#10467,#10477,#10487,#10496,#10503,#10514,#10524,#10534,#10543,#10550,#10561,#10571,#10581,#10590,#10597,#10608,#10618,#10628,#10637,#10644,#10655,#10665,#10675,#10684,#10691,#10702,#10712,#10722,#10731,#10738,#10749,#10759,#10769,#10778,#10785,#10796,#10806,#10816,#10825,#10832,#10843,#10853,#10863,#10872,#10879,#10890,#10900,#10910,#10919,#10926,#10937,#10947,#10957,#10966,#10973,#10984,#10994,#11004,#11013,#11020,#11031,#11041,#11051,#11060,#11067,#11078,#11088,#11098,#11107,#11114,#11125,#11135,#11145,#11154,#11161,#11172,#11182,#11192,#11201,#11208,#11219,#11229,#11239,#11248,#11255,#11266,#11276,#11286,#11295,#11302,#11313,#11323,#11333,#11342,#11349,#11360,#11370,#11377,#11386,#11395,#11406,#11416,#11426,#11435,#11442,#11453,#11463,#11473,#11482,#11489,#11500,#11510,#11520,#11529,#11536,#11547,#11557,#11567,#11576,#11583,#11594,#11604,#11614,#11623,#11630,#11641,#11651,#11661,#11670,#11677,#11688,#11698,#11708,#11717,#11724,#11735,#11745,#11755,#11764,#11771,#11782,#11792,#11802,#11811,#11818,#11829,#11839,#11849,#11858,#11865,#11876,#11886,#11896,#11905,#11912,#11923,#11933,#11943,#11952,#11959,#11970,#11980,#11990,#11999,#12006,#12017,#12027,#12037,#12046,#12053,#12064,#12074,#12084,#12093,#12100,#12111,#12121,#12131,#12140,#12147,#12158,#12168,#12178,#12187,#12194,#12205,#12215,#12225,#12234,#12241,#12252,#12262,#12272,#12281,#12288,#12299,#12309,#12319,#12328,#12335,#12346,#12356,#12366,#12375,#12382,#12393,#12403,#12413,#12422,#12429,#12440,#12451,#12462,#12473,#12483,#12493,#12503,#12513,#12523,#12533,#12543,#12553,#12563,#12573,#12583,#12593,#12603,#12613,#12623,#12633,#12643,#12653,#12663,#12673,#12683,#12693,#12703,#12713,#12723,#12733,#12743,#12753,#12763,#12773,#12783,#12793,#12803,#12813,#12823,#12833,#12843,#12853,#12863,#12873,#12883,#12893,#12903,#12913,#12923,#12933,#12943,#12953,#12963,#12973,#12983,#12993,#13003,#13013,#13023,#13033,#13043,#13053,#13063,#13073,#13083,#13093,#13103,#13113,#13123,#13133,#13143,#13153,#13163,#13173,#13183,#13193,#13203,#13213,#13223,#13233,#13243,#13253,#13263,#13273,#13283,#13293,#13303,#13313,#13323,#13333,#13343,#13353,#13363,#13373,#13383,#13393,#13403,#13413,#13423,#13433,#13443,#13453,#13463,#13473,#13483,#13493,#13503,#13513,#13523,#13533,#13543,#13553,#13563,#13573,#13583,#13593,#13603,#13613,#13623,#13633,#13643,#13653,#13663,#13673,#13683,#13693,#13703,#13713,#13723,#13733,#13743,#13753,#13763,#13773,#13783,#13793,#13803,#13813,#13823,#13833,#13843,#13853,#13863,#13873,#13883,#13893,#13903,#13913,#13923,#13933,#13943,#13953,#13963,#13973,#13983,#13993,#14003,#14013,#14023,#14033,#14043,#14053,#14063,#14073,#14083,#14093,#14103,#14113,#14123,#14133,#14143,#14153,#14163,#14173,#14183,#14193,#14203,#14213,#14223,#14233,#14243,#14253,#14263,#14273,#14283,#14293,#14303,#14313,#14323,#14333,#14343,#14353,#14363,#14373,#14383,#14393,#14403,#14413,#14423,#14433,#14443,#14453,#14463,#14473,#14483,#14493,#14503,#14513,#14523,#14533,#14543,#14553,#14563,#14573,#14583,#14593,#14603,#14613,#14623,#14633,#14643,#14653,#14663,#14673,#14683,#14693,#14703,#14713,#14723,#14733,#14743,#14753,#14763,#14773,#14783,#14793,#14803,#14813,#14823,#14833,#14843,#14853,#14863,#14873,#14883,#14893,#14903,#14913,#14923,#14933,#14943,#14953,#14963,#14973,#14983,#14993,#15003,#15013,#15023,#15033,#15043,#15053,#15063,#15073,#15083,#15093,#15103,#15113,#15123,#15133,#15143,#15153,#15163,#15173,#15183,#15193,#15203,#15213,#15223,#15233,#15243,#15253,#15263,#15273,#15283,#15293,#15303,#15313,#15323,#15333,#15343,#15353,#15363,#15373,#15383,#15393,#15403,#15413,#15423,#15433,#15443,#15453,#15463,#15473,#15483,#15493,#15503,#15513,#15523,#15533,#15543,#15553,#15563,#15573,#15583,#15593,#15603,#15613,#15623,#15633,#15643,#15653,#15663,#15673,#15683,#15693,#15703,#15713,#15723,#15733,#15743,#15753,#15763,#15773,#15783,#15793,#15803,#15813,#15823,#15833,#15843,#15853,#15863,#15873,#15883,#15893,#15903,#15913,#15923,#15933,#15943,#15953,#15963,#15973,#15983,#15993,#16003,#16013,#16023,#16033,#16043,#16053,#16063,#16073,#16083,#16093,#16103,#16113,#16123,#16133,#16143,#16153,#16163,#16173,#16183,#16193,#16203,#16213,#16223,#16233,#16243,#16253,#16263,#16273,#16283,#16293,#16303,#16313,#16323,#16333,#16343,#16353,#16363,#16373,#16383,#16393,#16403,#16413,#16423,#16433,#16443,#16453,#16463,#16473,#16483,#16493,#16503,#16513,#16523,#16533,#16543,#16553,#16563,#16573,#16583,#16593,#16603,#16613,#16623,#16633,#16643,#16653,#16663,#16673,#16683,#16693,#16703,#16713,#16723,#16733,#16743,#16753,#16763,#16773,#16783,#16793,#16803,#16813,#16823,#16833,#16843,#16853,#16863,#16873,#16883,#16893,#16903,#16913,#16923,#16933,#16943,#16953,#16963,#16973,#16983,#16993,#17003,#17013,#17023,#17033,#17043,#17053,#17063,#17073,#17083,#17093,#17103,#17113,#17123,#17133,#17143,#17153,#17163,#17173,#17183,#17193,#17203,#17213,#17223,#17233,#17243,#17253,#17263,#17273,#17283,#17293,#17303,#17313,#17323,#17333,#17343,#17353,#17363,#17373,#17383,#17393,#17403,#17413,#17423,#17433,#17443,#17453,#17463,#17473,#17483,#17493,#17503,#17513,#17523,#17533)); +#17535=MANIFOLD_SOLID_BREP('',#17534); +#17536=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#17537=DIMENSIONAL_EXPONENTS(0.E0,0.E0,0.E0,0.E0,0.E0,0.E0,0.E0); +#17538=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#17539=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2),#17538); +#17540=(CONVERSION_BASED_UNIT('DEGREE',#17539)NAMED_UNIT(#17537)PLANE_ANGLE_UNIT()); +#17541=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#17542=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(8.543891607627E-3),#17536,'closure','Maximum model space distance between geometric entities at asserted connectivities'); +#17543=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#17542))GLOBAL_UNIT_ASSIGNED_CONTEXT((#17536,#17540,#17541))REPRESENTATION_CONTEXT('ID1','3')); +#17544=APPLICATION_CONTEXT('CONFIGURATION CONTROLLED 3D DESIGNS OF MECHANICAL PARTS AND ASSEMBLIES'); +#17545=APPLICATION_PROTOCOL_DEFINITION('international standard','ccd_cla_gvp_ast_asd',1995,#17544); +#17546=DESIGN_CONTEXT('',#17544,'design'); +#17547=MECHANICAL_CONTEXT('',#17544,'mechanical'); +#17548=PRODUCT('C-1375795-01-3','C-1375795-01-3','NOT SPECIFIED',(#17547)); +#17549=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('1','LAST_VERSION',#17548,.MADE.); +#17550=DERIVED_UNIT_ELEMENT(#17536,2.E0); +#17551=DERIVED_UNIT((#17550)); +#17552=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE(6.801807669579E3),#17551); +#17553=DERIVED_UNIT_ELEMENT(#17536,3.E0); +#17554=DERIVED_UNIT((#17553)); +#17555=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE(7.488417893E3),#17554); +#17556=CARTESIAN_POINT('centre point',(1.949830190153E0,5.698243862757E-1,-6.193785445266E0)); +#17557=PRODUCT_CATEGORY('part',''); +#17558=PRODUCT_RELATED_PRODUCT_CATEGORY('detail','',(#17548)); +#17559=PRODUCT_CATEGORY_RELATIONSHIP('','',#17557,#17558); +#17560=SECURITY_CLASSIFICATION_LEVEL('unclassified'); +#17561=SECURITY_CLASSIFICATION('','',#17560); +#17562=CC_DESIGN_SECURITY_CLASSIFICATION(#17561,(#17549)); +#17563=APPROVAL_STATUS('approved'); +#17564=APPROVAL(#17563,''); +#17565=CC_DESIGN_APPROVAL(#17564,(#17561,#17549,#20238)); +#17566=CALENDAR_DATE(107,4,9); +#17567=COORDINATED_UNIVERSAL_TIME_OFFSET(3,0,.BEHIND.); +#17568=LOCAL_TIME(10,12,5.1E1,#17567); +#17569=DATE_AND_TIME(#17566,#17568); +#17570=APPROVAL_DATE_TIME(#17569,#17564); +#17571=DATE_TIME_ROLE('creation_date'); +#17572=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#17569,#17571,(#20238)); +#17573=DATE_TIME_ROLE('classification_date'); +#17574=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#17569,#17573,(#17561)); +#17575=PERSON('UNSPECIFIED','UNSPECIFIED',$,$,$,$); +#17576=ORGANIZATION('UNSPECIFIED','UNSPECIFIED','UNSPECIFIED'); +#17577=PERSON_AND_ORGANIZATION(#17575,#17576); +#17578=APPROVAL_ROLE('approver'); +#17579=APPROVAL_PERSON_ORGANIZATION(#17577,#17564,#17578); +#17580=PERSON_AND_ORGANIZATION_ROLE('creator'); +#17581=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#17577,#17580,(#17549,#20238)); +#17582=PERSON_AND_ORGANIZATION_ROLE('design_supplier'); +#17583=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#17577,#17582,(#17549)); +#17584=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); +#17585=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#17577,#17584,(#17561)); +#17586=PERSON_AND_ORGANIZATION_ROLE('design_owner'); +#17587=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#17577,#17586,(#17548)); +#17588=EDGE_CURVE('',#4553,#4554,#75,.T.); +#17589=EDGE_CURVE('',#4560,#4553,#79,.T.); +#17590=EDGE_CURVE('',#4559,#4560,#83,.T.); +#17591=EDGE_CURVE('',#4558,#4559,#87,.T.); +#17592=EDGE_CURVE('',#4557,#4558,#89,.T.); +#17593=EDGE_CURVE('',#4556,#4557,#92,.T.); +#17594=EDGE_CURVE('',#4555,#4556,#94,.T.); +#17595=EDGE_CURVE('',#4554,#4555,#97,.T.); +#17596=EDGE_CURVE('',#5509,#5510,#100,.T.); +#17597=EDGE_CURVE('',#5510,#5511,#103,.T.); +#17598=EDGE_CURVE('',#5511,#5512,#106,.T.); +#17599=EDGE_CURVE('',#5512,#5509,#109,.T.); +#17600=EDGE_CURVE('',#5517,#5518,#111,.T.); +#17601=EDGE_CURVE('',#5518,#5519,#113,.T.); +#17602=EDGE_CURVE('',#5519,#5520,#115,.T.); +#17603=EDGE_CURVE('',#5520,#5517,#117,.T.); +#17604=EDGE_CURVE('',#5525,#5526,#119,.T.); +#17605=EDGE_CURVE('',#5526,#5527,#121,.T.); +#17606=EDGE_CURVE('',#5527,#5528,#123,.T.); +#17607=EDGE_CURVE('',#5528,#5525,#125,.T.); +#17608=EDGE_CURVE('',#5533,#5534,#127,.T.); +#17609=EDGE_CURVE('',#5534,#5535,#129,.T.); +#17610=EDGE_CURVE('',#5535,#5536,#131,.T.); +#17611=EDGE_CURVE('',#5536,#5533,#133,.T.); +#17612=EDGE_CURVE('',#5541,#5542,#135,.T.); +#17613=EDGE_CURVE('',#5542,#5543,#137,.T.); +#17614=EDGE_CURVE('',#5543,#5544,#139,.T.); +#17615=EDGE_CURVE('',#5544,#5541,#141,.T.); +#17616=EDGE_CURVE('',#5549,#5550,#143,.T.); +#17617=EDGE_CURVE('',#5550,#5551,#145,.T.); +#17618=EDGE_CURVE('',#5551,#5552,#147,.T.); +#17619=EDGE_CURVE('',#5552,#5549,#149,.T.); +#17620=EDGE_CURVE('',#5557,#5558,#151,.T.); +#17621=EDGE_CURVE('',#5558,#5559,#153,.T.); +#17622=EDGE_CURVE('',#5559,#5560,#155,.T.); +#17623=EDGE_CURVE('',#5560,#5557,#157,.T.); +#17624=EDGE_CURVE('',#5565,#5566,#159,.T.); +#17625=EDGE_CURVE('',#5566,#5567,#161,.T.); +#17626=EDGE_CURVE('',#5567,#5568,#163,.T.); +#17627=EDGE_CURVE('',#5568,#5565,#165,.T.); +#17628=EDGE_CURVE('',#5573,#5574,#167,.T.); +#17629=EDGE_CURVE('',#5574,#5575,#169,.T.); +#17630=EDGE_CURVE('',#5575,#5576,#171,.T.); +#17631=EDGE_CURVE('',#5576,#5573,#173,.T.); +#17632=EDGE_CURVE('',#5581,#5582,#175,.T.); +#17633=EDGE_CURVE('',#5582,#5583,#177,.T.); +#17634=EDGE_CURVE('',#5583,#5584,#179,.T.); +#17635=EDGE_CURVE('',#5584,#5581,#181,.T.); +#17636=EDGE_CURVE('',#5589,#5590,#183,.T.); +#17637=EDGE_CURVE('',#5590,#5591,#185,.T.); +#17638=EDGE_CURVE('',#5591,#5592,#187,.T.); +#17639=EDGE_CURVE('',#5592,#5589,#189,.T.); +#17640=EDGE_CURVE('',#5597,#5598,#191,.T.); +#17641=EDGE_CURVE('',#5598,#5599,#193,.T.); +#17642=EDGE_CURVE('',#5599,#5600,#195,.T.); +#17643=EDGE_CURVE('',#5600,#5597,#197,.T.); +#17644=EDGE_CURVE('',#5605,#5606,#199,.T.); +#17645=EDGE_CURVE('',#5606,#5607,#201,.T.); +#17646=EDGE_CURVE('',#5607,#5608,#203,.T.); +#17647=EDGE_CURVE('',#5608,#5605,#205,.T.); +#17648=EDGE_CURVE('',#5613,#5614,#207,.T.); +#17649=EDGE_CURVE('',#5614,#5615,#209,.T.); +#17650=EDGE_CURVE('',#5615,#5616,#211,.T.); +#17651=EDGE_CURVE('',#5616,#5613,#213,.T.); +#17652=EDGE_CURVE('',#5621,#5622,#215,.T.); +#17653=EDGE_CURVE('',#5622,#5623,#217,.T.); +#17654=EDGE_CURVE('',#5623,#5624,#219,.T.); +#17655=EDGE_CURVE('',#5624,#5621,#221,.T.); +#17656=EDGE_CURVE('',#5629,#5630,#223,.T.); +#17657=EDGE_CURVE('',#5630,#5631,#225,.T.); +#17658=EDGE_CURVE('',#5631,#5632,#227,.T.); +#17659=EDGE_CURVE('',#5632,#5629,#229,.T.); +#17660=EDGE_CURVE('',#5637,#5638,#231,.T.); +#17661=EDGE_CURVE('',#5638,#5639,#233,.T.); +#17662=EDGE_CURVE('',#5639,#5640,#235,.T.); +#17663=EDGE_CURVE('',#5640,#5637,#237,.T.); +#17664=EDGE_CURVE('',#5645,#5646,#239,.T.); +#17665=EDGE_CURVE('',#5646,#5647,#241,.T.); +#17666=EDGE_CURVE('',#5647,#5648,#243,.T.); +#17667=EDGE_CURVE('',#5648,#5645,#245,.T.); +#17668=EDGE_CURVE('',#5653,#5654,#247,.T.); +#17669=EDGE_CURVE('',#5654,#5655,#249,.T.); +#17670=EDGE_CURVE('',#5655,#5656,#251,.T.); +#17671=EDGE_CURVE('',#5656,#5653,#253,.T.); +#17672=EDGE_CURVE('',#5661,#5662,#255,.T.); +#17673=EDGE_CURVE('',#5662,#5663,#257,.T.); +#17674=EDGE_CURVE('',#5663,#5664,#259,.T.); +#17675=EDGE_CURVE('',#5664,#5661,#261,.T.); +#17676=EDGE_CURVE('',#5669,#5670,#263,.T.); +#17677=EDGE_CURVE('',#5670,#5671,#265,.T.); +#17678=EDGE_CURVE('',#5671,#5672,#267,.T.); +#17679=EDGE_CURVE('',#5672,#5669,#269,.T.); +#17680=EDGE_CURVE('',#5677,#5678,#271,.T.); +#17681=EDGE_CURVE('',#5678,#5679,#273,.T.); +#17682=EDGE_CURVE('',#5679,#5680,#275,.T.); +#17683=EDGE_CURVE('',#5680,#5677,#277,.T.); +#17684=EDGE_CURVE('',#5685,#5686,#279,.T.); +#17685=EDGE_CURVE('',#5686,#5687,#281,.T.); +#17686=EDGE_CURVE('',#5687,#5688,#283,.T.); +#17687=EDGE_CURVE('',#5688,#5685,#285,.T.); +#17688=EDGE_CURVE('',#5693,#5694,#287,.T.); +#17689=EDGE_CURVE('',#5694,#5695,#289,.T.); +#17690=EDGE_CURVE('',#5695,#5696,#291,.T.); +#17691=EDGE_CURVE('',#5696,#5693,#293,.T.); +#17692=EDGE_CURVE('',#5701,#5702,#295,.T.); +#17693=EDGE_CURVE('',#5702,#5703,#297,.T.); +#17694=EDGE_CURVE('',#5703,#5704,#299,.T.); +#17695=EDGE_CURVE('',#5704,#5701,#301,.T.); +#17696=EDGE_CURVE('',#5709,#5710,#303,.T.); +#17697=EDGE_CURVE('',#5710,#5711,#305,.T.); +#17698=EDGE_CURVE('',#5711,#5712,#307,.T.); +#17699=EDGE_CURVE('',#5712,#5709,#309,.T.); +#17700=EDGE_CURVE('',#5717,#5718,#311,.T.); +#17701=EDGE_CURVE('',#5718,#5719,#313,.T.); +#17702=EDGE_CURVE('',#5719,#5720,#315,.T.); +#17703=EDGE_CURVE('',#5720,#5717,#317,.T.); +#17704=EDGE_CURVE('',#5725,#5726,#319,.T.); +#17705=EDGE_CURVE('',#5726,#5727,#321,.T.); +#17706=EDGE_CURVE('',#5727,#5728,#323,.T.); +#17707=EDGE_CURVE('',#5728,#5725,#325,.T.); +#17708=EDGE_CURVE('',#5733,#5734,#327,.T.); +#17709=EDGE_CURVE('',#5734,#5735,#329,.T.); +#17710=EDGE_CURVE('',#5735,#5736,#331,.T.); +#17711=EDGE_CURVE('',#5736,#5733,#333,.T.); +#17712=EDGE_CURVE('',#5741,#5742,#335,.T.); +#17713=EDGE_CURVE('',#5742,#5743,#337,.T.); +#17714=EDGE_CURVE('',#5743,#5744,#339,.T.); +#17715=EDGE_CURVE('',#5744,#5741,#341,.T.); +#17716=EDGE_CURVE('',#5749,#5750,#343,.T.); +#17717=EDGE_CURVE('',#5750,#5751,#345,.T.); +#17718=EDGE_CURVE('',#5751,#5752,#347,.T.); +#17719=EDGE_CURVE('',#5752,#5749,#349,.T.); +#17720=EDGE_CURVE('',#5757,#5758,#351,.T.); +#17721=EDGE_CURVE('',#5758,#5759,#353,.T.); +#17722=EDGE_CURVE('',#5759,#5760,#355,.T.); +#17723=EDGE_CURVE('',#5760,#5757,#357,.T.); +#17724=EDGE_CURVE('',#5765,#5766,#359,.T.); +#17725=EDGE_CURVE('',#5766,#5767,#361,.T.); +#17726=EDGE_CURVE('',#5767,#5768,#363,.T.); +#17727=EDGE_CURVE('',#5768,#5765,#365,.T.); +#17728=EDGE_CURVE('',#5773,#5774,#367,.T.); +#17729=EDGE_CURVE('',#5774,#5775,#369,.T.); +#17730=EDGE_CURVE('',#5775,#5776,#371,.T.); +#17731=EDGE_CURVE('',#5776,#5773,#373,.T.); +#17732=EDGE_CURVE('',#5781,#5782,#375,.T.); +#17733=EDGE_CURVE('',#5782,#5783,#377,.T.); +#17734=EDGE_CURVE('',#5783,#5784,#379,.T.); +#17735=EDGE_CURVE('',#5784,#5781,#381,.T.); +#17736=EDGE_CURVE('',#5789,#5790,#383,.T.); +#17737=EDGE_CURVE('',#5790,#5791,#385,.T.); +#17738=EDGE_CURVE('',#5791,#5792,#387,.T.); +#17739=EDGE_CURVE('',#5792,#5789,#389,.T.); +#17740=EDGE_CURVE('',#5797,#5798,#391,.T.); +#17741=EDGE_CURVE('',#5798,#5799,#393,.T.); +#17742=EDGE_CURVE('',#5799,#5800,#395,.T.); +#17743=EDGE_CURVE('',#5800,#5797,#397,.T.); +#17744=EDGE_CURVE('',#5805,#5806,#399,.T.); +#17745=EDGE_CURVE('',#5806,#5807,#401,.T.); +#17746=EDGE_CURVE('',#5807,#5808,#403,.T.); +#17747=EDGE_CURVE('',#5808,#5805,#405,.T.); +#17748=EDGE_CURVE('',#5813,#5814,#407,.T.); +#17749=EDGE_CURVE('',#5814,#5815,#409,.T.); +#17750=EDGE_CURVE('',#5815,#5816,#411,.T.); +#17751=EDGE_CURVE('',#5816,#5813,#413,.T.); +#17752=EDGE_CURVE('',#5821,#5822,#415,.T.); +#17753=EDGE_CURVE('',#5822,#5823,#417,.T.); +#17754=EDGE_CURVE('',#5823,#5824,#419,.T.); +#17755=EDGE_CURVE('',#5824,#5821,#421,.T.); +#17756=EDGE_CURVE('',#5829,#5830,#423,.T.); +#17757=EDGE_CURVE('',#5830,#5831,#425,.T.); +#17758=EDGE_CURVE('',#5831,#5832,#427,.T.); +#17759=EDGE_CURVE('',#5832,#5829,#429,.T.); +#17760=EDGE_CURVE('',#5837,#5838,#431,.T.); +#17761=EDGE_CURVE('',#5838,#5839,#433,.T.); +#17762=EDGE_CURVE('',#5839,#5840,#435,.T.); +#17763=EDGE_CURVE('',#5840,#5837,#437,.T.); +#17764=EDGE_CURVE('',#5845,#5846,#439,.T.); +#17765=EDGE_CURVE('',#5846,#5847,#441,.T.); +#17766=EDGE_CURVE('',#5847,#5848,#443,.T.); +#17767=EDGE_CURVE('',#5848,#5845,#445,.T.); +#17768=EDGE_CURVE('',#5853,#5854,#447,.T.); +#17769=EDGE_CURVE('',#5854,#5855,#449,.T.); +#17770=EDGE_CURVE('',#5855,#5856,#451,.T.); +#17771=EDGE_CURVE('',#5856,#5853,#453,.T.); +#17772=EDGE_CURVE('',#5861,#5862,#455,.T.); +#17773=EDGE_CURVE('',#5862,#5863,#457,.T.); +#17774=EDGE_CURVE('',#5863,#5864,#459,.T.); +#17775=EDGE_CURVE('',#5864,#5861,#461,.T.); +#17776=EDGE_CURVE('',#5869,#5870,#463,.T.); +#17777=EDGE_CURVE('',#5870,#5871,#465,.T.); +#17778=EDGE_CURVE('',#5871,#5872,#467,.T.); +#17779=EDGE_CURVE('',#5872,#5869,#469,.T.); +#17780=EDGE_CURVE('',#5877,#5878,#471,.T.); +#17781=EDGE_CURVE('',#5878,#5879,#473,.T.); +#17782=EDGE_CURVE('',#5879,#5880,#475,.T.); +#17783=EDGE_CURVE('',#5880,#5877,#477,.T.); +#17784=EDGE_CURVE('',#5885,#5886,#479,.T.); +#17785=EDGE_CURVE('',#5886,#5887,#481,.T.); +#17786=EDGE_CURVE('',#5887,#5888,#483,.T.); +#17787=EDGE_CURVE('',#5888,#5885,#485,.T.); +#17788=EDGE_CURVE('',#5893,#5894,#487,.T.); +#17789=EDGE_CURVE('',#5894,#5895,#489,.T.); +#17790=EDGE_CURVE('',#5895,#5896,#491,.T.); +#17791=EDGE_CURVE('',#5896,#5893,#493,.T.); +#17792=EDGE_CURVE('',#5901,#5902,#495,.T.); +#17793=EDGE_CURVE('',#5902,#5903,#497,.T.); +#17794=EDGE_CURVE('',#5903,#5904,#499,.T.); +#17795=EDGE_CURVE('',#5904,#5901,#501,.T.); +#17796=EDGE_CURVE('',#5909,#5910,#503,.T.); +#17797=EDGE_CURVE('',#5910,#5911,#505,.T.); +#17798=EDGE_CURVE('',#5911,#5912,#507,.T.); +#17799=EDGE_CURVE('',#5912,#5909,#509,.T.); +#17800=EDGE_CURVE('',#5917,#5918,#511,.T.); +#17801=EDGE_CURVE('',#5918,#5919,#513,.T.); +#17802=EDGE_CURVE('',#5919,#5920,#515,.T.); +#17803=EDGE_CURVE('',#5920,#5917,#517,.T.); +#17804=EDGE_CURVE('',#5925,#5926,#519,.T.); +#17805=EDGE_CURVE('',#5926,#5927,#521,.T.); +#17806=EDGE_CURVE('',#5927,#5928,#523,.T.); +#17807=EDGE_CURVE('',#5928,#5925,#525,.T.); +#17808=EDGE_CURVE('',#5933,#5934,#527,.T.); +#17809=EDGE_CURVE('',#5934,#5935,#529,.T.); +#17810=EDGE_CURVE('',#5935,#5936,#531,.T.); +#17811=EDGE_CURVE('',#5936,#5933,#533,.T.); +#17812=EDGE_CURVE('',#5941,#5942,#535,.T.); +#17813=EDGE_CURVE('',#5942,#5943,#537,.T.); +#17814=EDGE_CURVE('',#5943,#5944,#539,.T.); +#17815=EDGE_CURVE('',#5944,#5941,#541,.T.); +#17816=EDGE_CURVE('',#5949,#5950,#543,.T.); +#17817=EDGE_CURVE('',#5950,#5951,#545,.T.); +#17818=EDGE_CURVE('',#5951,#5952,#547,.T.); +#17819=EDGE_CURVE('',#5952,#5949,#549,.T.); +#17820=EDGE_CURVE('',#5957,#5958,#551,.T.); +#17821=EDGE_CURVE('',#5958,#5959,#553,.T.); +#17822=EDGE_CURVE('',#5959,#5960,#555,.T.); +#17823=EDGE_CURVE('',#5960,#5957,#557,.T.); +#17824=EDGE_CURVE('',#5965,#5966,#559,.T.); +#17825=EDGE_CURVE('',#5966,#5967,#561,.T.); +#17826=EDGE_CURVE('',#5967,#5968,#563,.T.); +#17827=EDGE_CURVE('',#5968,#5965,#565,.T.); +#17828=EDGE_CURVE('',#5973,#5974,#567,.T.); +#17829=EDGE_CURVE('',#5974,#5975,#569,.T.); +#17830=EDGE_CURVE('',#5975,#5976,#571,.T.); +#17831=EDGE_CURVE('',#5976,#5973,#573,.T.); +#17832=EDGE_CURVE('',#5981,#5982,#575,.T.); +#17833=EDGE_CURVE('',#5982,#5983,#577,.T.); +#17834=EDGE_CURVE('',#5983,#5984,#579,.T.); +#17835=EDGE_CURVE('',#5984,#5981,#581,.T.); +#17836=EDGE_CURVE('',#5989,#5990,#583,.T.); +#17837=EDGE_CURVE('',#5990,#5991,#585,.T.); +#17838=EDGE_CURVE('',#5991,#5992,#587,.T.); +#17839=EDGE_CURVE('',#5992,#5989,#589,.T.); +#17840=EDGE_CURVE('',#5997,#5998,#591,.T.); +#17841=EDGE_CURVE('',#5998,#5999,#593,.T.); +#17842=EDGE_CURVE('',#5999,#6000,#595,.T.); +#17843=EDGE_CURVE('',#6000,#5997,#597,.T.); +#17844=EDGE_CURVE('',#6005,#6006,#599,.T.); +#17845=EDGE_CURVE('',#6006,#6007,#601,.T.); +#17846=EDGE_CURVE('',#6007,#6008,#603,.T.); +#17847=EDGE_CURVE('',#6008,#6005,#605,.T.); +#17848=EDGE_CURVE('',#6013,#6014,#607,.T.); +#17849=EDGE_CURVE('',#6014,#6015,#609,.T.); +#17850=EDGE_CURVE('',#6015,#6016,#611,.T.); +#17851=EDGE_CURVE('',#6016,#6013,#613,.T.); +#17852=EDGE_CURVE('',#6021,#6022,#615,.T.); +#17853=EDGE_CURVE('',#6022,#6023,#617,.T.); +#17854=EDGE_CURVE('',#6023,#6024,#619,.T.); +#17855=EDGE_CURVE('',#6024,#6021,#621,.T.); +#17856=EDGE_CURVE('',#6029,#6030,#623,.T.); +#17857=EDGE_CURVE('',#6030,#6031,#625,.T.); +#17858=EDGE_CURVE('',#6031,#6032,#627,.T.); +#17859=EDGE_CURVE('',#6032,#6029,#629,.T.); +#17860=EDGE_CURVE('',#6037,#6038,#631,.T.); +#17861=EDGE_CURVE('',#6038,#6039,#633,.T.); +#17862=EDGE_CURVE('',#6039,#6040,#635,.T.); +#17863=EDGE_CURVE('',#6040,#6037,#637,.T.); +#17864=EDGE_CURVE('',#6045,#6046,#639,.T.); +#17865=EDGE_CURVE('',#6046,#6047,#641,.T.); +#17866=EDGE_CURVE('',#6047,#6048,#643,.T.); +#17867=EDGE_CURVE('',#6048,#6045,#645,.T.); +#17868=EDGE_CURVE('',#6053,#6054,#647,.T.); +#17869=EDGE_CURVE('',#6054,#6055,#649,.T.); +#17870=EDGE_CURVE('',#6055,#6056,#651,.T.); +#17871=EDGE_CURVE('',#6056,#6053,#653,.T.); +#17872=EDGE_CURVE('',#6061,#6062,#655,.T.); +#17873=EDGE_CURVE('',#6062,#6063,#657,.T.); +#17874=EDGE_CURVE('',#6063,#6064,#659,.T.); +#17875=EDGE_CURVE('',#6064,#6061,#661,.T.); +#17876=EDGE_CURVE('',#6069,#6070,#663,.T.); +#17877=EDGE_CURVE('',#6070,#6071,#665,.T.); +#17878=EDGE_CURVE('',#6071,#6072,#667,.T.); +#17879=EDGE_CURVE('',#6072,#6069,#669,.T.); +#17880=EDGE_CURVE('',#6077,#6078,#671,.T.); +#17881=EDGE_CURVE('',#6078,#6079,#673,.T.); +#17882=EDGE_CURVE('',#6079,#6080,#675,.T.); +#17883=EDGE_CURVE('',#6080,#6077,#677,.T.); +#17884=EDGE_CURVE('',#6085,#6086,#679,.T.); +#17885=EDGE_CURVE('',#6086,#6087,#681,.T.); +#17886=EDGE_CURVE('',#6087,#6088,#683,.T.); +#17887=EDGE_CURVE('',#6088,#6085,#685,.T.); +#17888=EDGE_CURVE('',#6093,#6094,#687,.T.); +#17889=EDGE_CURVE('',#6094,#6095,#689,.T.); +#17890=EDGE_CURVE('',#6095,#6096,#691,.T.); +#17891=EDGE_CURVE('',#6096,#6093,#693,.T.); +#17892=EDGE_CURVE('',#6101,#6102,#695,.T.); +#17893=EDGE_CURVE('',#6102,#6103,#697,.T.); +#17894=EDGE_CURVE('',#6103,#6104,#699,.T.); +#17895=EDGE_CURVE('',#6104,#6101,#701,.T.); +#17896=EDGE_CURVE('',#6109,#6110,#703,.T.); +#17897=EDGE_CURVE('',#6110,#6111,#705,.T.); +#17898=EDGE_CURVE('',#6111,#6112,#707,.T.); +#17899=EDGE_CURVE('',#6112,#6109,#709,.T.); +#17900=EDGE_CURVE('',#6117,#6118,#711,.T.); +#17901=EDGE_CURVE('',#6118,#6119,#713,.T.); +#17902=EDGE_CURVE('',#6119,#6120,#715,.T.); +#17903=EDGE_CURVE('',#6120,#6117,#717,.T.); +#17904=EDGE_CURVE('',#6125,#6126,#719,.T.); +#17905=EDGE_CURVE('',#6126,#6127,#721,.T.); +#17906=EDGE_CURVE('',#6127,#6128,#723,.T.); +#17907=EDGE_CURVE('',#6128,#6125,#725,.T.); +#17908=EDGE_CURVE('',#6133,#6134,#727,.T.); +#17909=EDGE_CURVE('',#6134,#6135,#729,.T.); +#17910=EDGE_CURVE('',#6135,#6136,#731,.T.); +#17911=EDGE_CURVE('',#6136,#6133,#733,.T.); +#17912=EDGE_CURVE('',#6141,#6142,#735,.T.); +#17913=EDGE_CURVE('',#6142,#6143,#737,.T.); +#17914=EDGE_CURVE('',#6143,#6144,#739,.T.); +#17915=EDGE_CURVE('',#6144,#6141,#741,.T.); +#17916=EDGE_CURVE('',#6149,#6150,#743,.T.); +#17917=EDGE_CURVE('',#6150,#6151,#745,.T.); +#17918=EDGE_CURVE('',#6151,#6152,#747,.T.); +#17919=EDGE_CURVE('',#6152,#6149,#749,.T.); +#17920=EDGE_CURVE('',#6157,#6158,#751,.T.); +#17921=EDGE_CURVE('',#6158,#6159,#753,.T.); +#17922=EDGE_CURVE('',#6159,#6160,#755,.T.); +#17923=EDGE_CURVE('',#6160,#6157,#757,.T.); +#17924=EDGE_CURVE('',#6165,#6166,#759,.T.); +#17925=EDGE_CURVE('',#6166,#6167,#761,.T.); +#17926=EDGE_CURVE('',#6167,#6168,#763,.T.); +#17927=EDGE_CURVE('',#6168,#6165,#765,.T.); +#17928=EDGE_CURVE('',#6173,#6174,#767,.T.); +#17929=EDGE_CURVE('',#6174,#6175,#769,.T.); +#17930=EDGE_CURVE('',#6175,#6176,#771,.T.); +#17931=EDGE_CURVE('',#6176,#6173,#773,.T.); +#17932=EDGE_CURVE('',#6181,#6182,#775,.T.); +#17933=EDGE_CURVE('',#6182,#6183,#777,.T.); +#17934=EDGE_CURVE('',#6183,#6184,#779,.T.); +#17935=EDGE_CURVE('',#6184,#6181,#781,.T.); +#17936=EDGE_CURVE('',#6189,#6190,#783,.T.); +#17937=EDGE_CURVE('',#6190,#6191,#785,.T.); +#17938=EDGE_CURVE('',#6191,#6192,#787,.T.); +#17939=EDGE_CURVE('',#6192,#6189,#789,.T.); +#17940=EDGE_CURVE('',#6197,#6198,#791,.T.); +#17941=EDGE_CURVE('',#6198,#6199,#793,.T.); +#17942=EDGE_CURVE('',#6199,#6200,#795,.T.); +#17943=EDGE_CURVE('',#6200,#6197,#797,.T.); +#17944=EDGE_CURVE('',#6205,#6206,#799,.T.); +#17945=EDGE_CURVE('',#6206,#6207,#801,.T.); +#17946=EDGE_CURVE('',#6207,#6208,#803,.T.); +#17947=EDGE_CURVE('',#6208,#6205,#805,.T.); +#17948=EDGE_CURVE('',#6213,#6214,#807,.T.); +#17949=EDGE_CURVE('',#6214,#6215,#809,.T.); +#17950=EDGE_CURVE('',#6215,#6216,#811,.T.); +#17951=EDGE_CURVE('',#6216,#6213,#813,.T.); +#17952=EDGE_CURVE('',#6221,#6222,#815,.T.); +#17953=EDGE_CURVE('',#6222,#6223,#817,.T.); +#17954=EDGE_CURVE('',#6223,#6224,#819,.T.); +#17955=EDGE_CURVE('',#6224,#6221,#821,.T.); +#17956=EDGE_CURVE('',#6229,#6230,#823,.T.); +#17957=EDGE_CURVE('',#6230,#6231,#825,.T.); +#17958=EDGE_CURVE('',#6231,#6232,#827,.T.); +#17959=EDGE_CURVE('',#6232,#6229,#829,.T.); +#17960=EDGE_CURVE('',#6237,#6238,#831,.T.); +#17961=EDGE_CURVE('',#6238,#6239,#833,.T.); +#17962=EDGE_CURVE('',#6239,#6240,#835,.T.); +#17963=EDGE_CURVE('',#6240,#6237,#837,.T.); +#17964=EDGE_CURVE('',#6245,#6246,#839,.T.); +#17965=EDGE_CURVE('',#6246,#6247,#841,.T.); +#17966=EDGE_CURVE('',#6247,#6248,#843,.T.); +#17967=EDGE_CURVE('',#6248,#6245,#845,.T.); +#17968=EDGE_CURVE('',#6253,#6254,#847,.T.); +#17969=EDGE_CURVE('',#6254,#6255,#849,.T.); +#17970=EDGE_CURVE('',#6255,#6256,#851,.T.); +#17971=EDGE_CURVE('',#6256,#6253,#853,.T.); +#17972=EDGE_CURVE('',#6261,#6262,#855,.T.); +#17973=EDGE_CURVE('',#6262,#6263,#857,.T.); +#17974=EDGE_CURVE('',#6263,#6264,#859,.T.); +#17975=EDGE_CURVE('',#6264,#6261,#861,.T.); +#17976=EDGE_CURVE('',#6269,#6270,#863,.T.); +#17977=EDGE_CURVE('',#6270,#6271,#865,.T.); +#17978=EDGE_CURVE('',#6271,#6272,#867,.T.); +#17979=EDGE_CURVE('',#6272,#6269,#869,.T.); +#17980=EDGE_CURVE('',#6277,#6278,#871,.T.); +#17981=EDGE_CURVE('',#6278,#6279,#873,.T.); +#17982=EDGE_CURVE('',#6279,#6280,#875,.T.); +#17983=EDGE_CURVE('',#6280,#6277,#877,.T.); +#17984=EDGE_CURVE('',#6285,#6286,#879,.T.); +#17985=EDGE_CURVE('',#6286,#6287,#881,.T.); +#17986=EDGE_CURVE('',#6287,#6288,#883,.T.); +#17987=EDGE_CURVE('',#6288,#6285,#885,.T.); +#17988=EDGE_CURVE('',#6293,#6294,#887,.T.); +#17989=EDGE_CURVE('',#6294,#6295,#889,.T.); +#17990=EDGE_CURVE('',#6295,#6296,#891,.T.); +#17991=EDGE_CURVE('',#6296,#6293,#893,.T.); +#17992=EDGE_CURVE('',#6301,#6302,#895,.T.); +#17993=EDGE_CURVE('',#6302,#6303,#897,.T.); +#17994=EDGE_CURVE('',#6303,#6304,#899,.T.); +#17995=EDGE_CURVE('',#6304,#6301,#901,.T.); +#17996=EDGE_CURVE('',#6309,#6310,#903,.T.); +#17997=EDGE_CURVE('',#6310,#6311,#905,.T.); +#17998=EDGE_CURVE('',#6311,#6312,#907,.T.); +#17999=EDGE_CURVE('',#6312,#6309,#909,.T.); +#18000=EDGE_CURVE('',#6317,#6318,#911,.T.); +#18001=EDGE_CURVE('',#6318,#6319,#913,.T.); +#18002=EDGE_CURVE('',#6319,#6320,#915,.T.); +#18003=EDGE_CURVE('',#6320,#6317,#917,.T.); +#18004=EDGE_CURVE('',#4568,#4569,#934,.T.); +#18005=EDGE_CURVE('',#4553,#4568,#920,.T.); +#18006=EDGE_CURVE('',#4554,#4561,#2115,.T.); +#18007=EDGE_CURVE('',#4570,#4561,#943,.T.); +#18008=EDGE_CURVE('',#4584,#4570,#924,.T.); +#18009=EDGE_CURVE('',#4583,#4584,#927,.T.); +#18010=EDGE_CURVE('',#4569,#4583,#931,.T.); +#18011=EDGE_CURVE('',#4569,#4573,#936,.T.); +#18012=EDGE_CURVE('',#4573,#4567,#939,.T.); +#18013=EDGE_CURVE('',#4567,#4568,#941,.T.); +#18014=EDGE_CURVE('',#4561,#4562,#945,.T.); +#18015=EDGE_CURVE('',#4562,#4574,#947,.T.); +#18016=EDGE_CURVE('',#4574,#4575,#949,.T.); +#18017=EDGE_CURVE('',#4575,#4565,#951,.T.); +#18018=EDGE_CURVE('',#4565,#4566,#953,.T.); +#18019=EDGE_CURVE('',#4566,#4572,#955,.T.); +#18020=EDGE_CURVE('',#4570,#4572,#956,.T.); +#18021=EDGE_CURVE('',#4580,#4563,#958,.T.); +#18022=EDGE_CURVE('',#4563,#4564,#960,.T.); +#18023=EDGE_CURVE('',#4564,#4582,#962,.T.); +#18024=EDGE_CURVE('',#4580,#4582,#963,.T.); +#18025=EDGE_CURVE('',#4671,#4683,#966,.T.); +#18026=EDGE_CURVE('',#4683,#4684,#970,.T.); +#18027=EDGE_CURVE('',#4684,#4682,#973,.T.); +#18028=EDGE_CURVE('',#4681,#4682,#975,.T.); +#18029=EDGE_CURVE('',#4693,#4681,#1576,.T.); +#18030=EDGE_CURVE('',#4693,#4694,#979,.T.); +#18031=EDGE_CURVE('',#4694,#4692,#981,.T.); +#18032=EDGE_CURVE('',#4691,#4692,#985,.T.); +#18033=EDGE_CURVE('',#4703,#4691,#1571,.T.); +#18034=EDGE_CURVE('',#4703,#4704,#989,.T.); +#18035=EDGE_CURVE('',#4704,#4702,#991,.T.); +#18036=EDGE_CURVE('',#4701,#4702,#993,.T.); +#18037=EDGE_CURVE('',#4713,#4701,#1566,.T.); +#18038=EDGE_CURVE('',#4713,#4714,#995,.T.); +#18039=EDGE_CURVE('',#4714,#4712,#997,.T.); +#18040=EDGE_CURVE('',#4711,#4712,#999,.T.); +#18041=EDGE_CURVE('',#4723,#4711,#1561,.T.); +#18042=EDGE_CURVE('',#4723,#4724,#1001,.T.); +#18043=EDGE_CURVE('',#4724,#4722,#1003,.T.); +#18044=EDGE_CURVE('',#4721,#4722,#1005,.T.); +#18045=EDGE_CURVE('',#4733,#4721,#1556,.T.); +#18046=EDGE_CURVE('',#4733,#4734,#1007,.T.); +#18047=EDGE_CURVE('',#4734,#4732,#1009,.T.); +#18048=EDGE_CURVE('',#4731,#4732,#1011,.T.); +#18049=EDGE_CURVE('',#4743,#4731,#1551,.T.); +#18050=EDGE_CURVE('',#4743,#4744,#1013,.T.); +#18051=EDGE_CURVE('',#4744,#4742,#1015,.T.); +#18052=EDGE_CURVE('',#4741,#4742,#1017,.T.); +#18053=EDGE_CURVE('',#4753,#4741,#1546,.T.); +#18054=EDGE_CURVE('',#4753,#4754,#1019,.T.); +#18055=EDGE_CURVE('',#4754,#4752,#1021,.T.); +#18056=EDGE_CURVE('',#4751,#4752,#1023,.T.); +#18057=EDGE_CURVE('',#4763,#4751,#1541,.T.); +#18058=EDGE_CURVE('',#4763,#4764,#1025,.T.); +#18059=EDGE_CURVE('',#4764,#4762,#1027,.T.); +#18060=EDGE_CURVE('',#4761,#4762,#1029,.T.); +#18061=EDGE_CURVE('',#4773,#4761,#1536,.T.); +#18062=EDGE_CURVE('',#4773,#4774,#1031,.T.); +#18063=EDGE_CURVE('',#4774,#4772,#1033,.T.); +#18064=EDGE_CURVE('',#4771,#4772,#1035,.T.); +#18065=EDGE_CURVE('',#4783,#4771,#1531,.T.); +#18066=EDGE_CURVE('',#4783,#4784,#1037,.T.); +#18067=EDGE_CURVE('',#4784,#4782,#1039,.T.); +#18068=EDGE_CURVE('',#4781,#4782,#1041,.T.); +#18069=EDGE_CURVE('',#4793,#4781,#1526,.T.); +#18070=EDGE_CURVE('',#4793,#4794,#1043,.T.); +#18071=EDGE_CURVE('',#4794,#4792,#1045,.T.); +#18072=EDGE_CURVE('',#4791,#4792,#1047,.T.); +#18073=EDGE_CURVE('',#4803,#4791,#1521,.T.); +#18074=EDGE_CURVE('',#4803,#4804,#1049,.T.); +#18075=EDGE_CURVE('',#4804,#4802,#1051,.T.); +#18076=EDGE_CURVE('',#4801,#4802,#1053,.T.); +#18077=EDGE_CURVE('',#4813,#4801,#1516,.T.); +#18078=EDGE_CURVE('',#4813,#4814,#1055,.T.); +#18079=EDGE_CURVE('',#4814,#4812,#1057,.T.); +#18080=EDGE_CURVE('',#4811,#4812,#1059,.T.); +#18081=EDGE_CURVE('',#4823,#4811,#1511,.T.); +#18082=EDGE_CURVE('',#4823,#4824,#1061,.T.); +#18083=EDGE_CURVE('',#4824,#4822,#1063,.T.); +#18084=EDGE_CURVE('',#4821,#4822,#1065,.T.); +#18085=EDGE_CURVE('',#4833,#4821,#1506,.T.); +#18086=EDGE_CURVE('',#4833,#4834,#1067,.T.); +#18087=EDGE_CURVE('',#4834,#4832,#1069,.T.); +#18088=EDGE_CURVE('',#4831,#4832,#1071,.T.); +#18089=EDGE_CURVE('',#4843,#4831,#1501,.T.); +#18090=EDGE_CURVE('',#4843,#4844,#1073,.T.); +#18091=EDGE_CURVE('',#4844,#4842,#1075,.T.); +#18092=EDGE_CURVE('',#4841,#4842,#1077,.T.); +#18093=EDGE_CURVE('',#4853,#4841,#1496,.T.); +#18094=EDGE_CURVE('',#4853,#4854,#1079,.T.); +#18095=EDGE_CURVE('',#4854,#4852,#1081,.T.); +#18096=EDGE_CURVE('',#4851,#4852,#1083,.T.); +#18097=EDGE_CURVE('',#4863,#4851,#1491,.T.); +#18098=EDGE_CURVE('',#4863,#4864,#1085,.T.); +#18099=EDGE_CURVE('',#4864,#4862,#1087,.T.); +#18100=EDGE_CURVE('',#4861,#4862,#1089,.T.); +#18101=EDGE_CURVE('',#4873,#4861,#1486,.T.); +#18102=EDGE_CURVE('',#4873,#4874,#1091,.T.); +#18103=EDGE_CURVE('',#4874,#4872,#1093,.T.); +#18104=EDGE_CURVE('',#4871,#4872,#1095,.T.); +#18105=EDGE_CURVE('',#4883,#4871,#1481,.T.); +#18106=EDGE_CURVE('',#4883,#4884,#1097,.T.); +#18107=EDGE_CURVE('',#4884,#4882,#1099,.T.); +#18108=EDGE_CURVE('',#4881,#4882,#1101,.T.); +#18109=EDGE_CURVE('',#4893,#4881,#1476,.T.); +#18110=EDGE_CURVE('',#4893,#4894,#1103,.T.); +#18111=EDGE_CURVE('',#4894,#4892,#1105,.T.); +#18112=EDGE_CURVE('',#4891,#4892,#1107,.T.); +#18113=EDGE_CURVE('',#4585,#4891,#1471,.T.); +#18114=EDGE_CURVE('',#4573,#4585,#2096,.T.); +#18115=EDGE_CURVE('',#4593,#4583,#1469,.T.); +#18116=EDGE_CURVE('',#4593,#4594,#1109,.T.); +#18117=EDGE_CURVE('',#4594,#4592,#1111,.T.); +#18118=EDGE_CURVE('',#4591,#4592,#1113,.T.); +#18119=EDGE_CURVE('',#4603,#4591,#1463,.T.); +#18120=EDGE_CURVE('',#4603,#4604,#1115,.T.); +#18121=EDGE_CURVE('',#4604,#4602,#1117,.T.); +#18122=EDGE_CURVE('',#4601,#4602,#1119,.T.); +#18123=EDGE_CURVE('',#4613,#4601,#1458,.T.); +#18124=EDGE_CURVE('',#4613,#4614,#1121,.T.); +#18125=EDGE_CURVE('',#4614,#4612,#1123,.T.); +#18126=EDGE_CURVE('',#4611,#4612,#1125,.T.); +#18127=EDGE_CURVE('',#4623,#4611,#1453,.T.); +#18128=EDGE_CURVE('',#4623,#4624,#1127,.T.); +#18129=EDGE_CURVE('',#4624,#4622,#1129,.T.); +#18130=EDGE_CURVE('',#4621,#4622,#1131,.T.); +#18131=EDGE_CURVE('',#4633,#4621,#1448,.T.); +#18132=EDGE_CURVE('',#4633,#4634,#1133,.T.); +#18133=EDGE_CURVE('',#4634,#4632,#1135,.T.); +#18134=EDGE_CURVE('',#4631,#4632,#1137,.T.); +#18135=EDGE_CURVE('',#4643,#4631,#1443,.T.); +#18136=EDGE_CURVE('',#4643,#4644,#1139,.T.); +#18137=EDGE_CURVE('',#4644,#4642,#1141,.T.); +#18138=EDGE_CURVE('',#4641,#4642,#1143,.T.); +#18139=EDGE_CURVE('',#4653,#4641,#1438,.T.); +#18140=EDGE_CURVE('',#4653,#4654,#1145,.T.); +#18141=EDGE_CURVE('',#4654,#4652,#1147,.T.); +#18142=EDGE_CURVE('',#4651,#4652,#1149,.T.); +#18143=EDGE_CURVE('',#4663,#4651,#1433,.T.); +#18144=EDGE_CURVE('',#4663,#4664,#1151,.T.); +#18145=EDGE_CURVE('',#4664,#4662,#1153,.T.); +#18146=EDGE_CURVE('',#4661,#4662,#1155,.T.); +#18147=EDGE_CURVE('',#4673,#4661,#1428,.T.); +#18148=EDGE_CURVE('',#4673,#4674,#1157,.T.); +#18149=EDGE_CURVE('',#4674,#4672,#1159,.T.); +#18150=EDGE_CURVE('',#4671,#4672,#1160,.T.); +#18151=EDGE_CURVE('',#4671,#4675,#1423,.T.); +#18152=EDGE_CURVE('',#4675,#4676,#1425,.T.); +#18153=EDGE_CURVE('',#4673,#4676,#1426,.T.); +#18154=EDGE_CURVE('',#4661,#4665,#1429,.T.); +#18155=EDGE_CURVE('',#4665,#4666,#1431,.T.); +#18156=EDGE_CURVE('',#4663,#4666,#1432,.T.); +#18157=EDGE_CURVE('',#4651,#4655,#1434,.T.); +#18158=EDGE_CURVE('',#4655,#4656,#1436,.T.); +#18159=EDGE_CURVE('',#4653,#4656,#1437,.T.); +#18160=EDGE_CURVE('',#4641,#4645,#1439,.T.); +#18161=EDGE_CURVE('',#4645,#4646,#1441,.T.); +#18162=EDGE_CURVE('',#4643,#4646,#1442,.T.); +#18163=EDGE_CURVE('',#4631,#4635,#1444,.T.); +#18164=EDGE_CURVE('',#4635,#4636,#1446,.T.); +#18165=EDGE_CURVE('',#4633,#4636,#1447,.T.); +#18166=EDGE_CURVE('',#4621,#4625,#1449,.T.); +#18167=EDGE_CURVE('',#4625,#4626,#1451,.T.); +#18168=EDGE_CURVE('',#4623,#4626,#1452,.T.); +#18169=EDGE_CURVE('',#4611,#4615,#1454,.T.); +#18170=EDGE_CURVE('',#4615,#4616,#1456,.T.); +#18171=EDGE_CURVE('',#4613,#4616,#1457,.T.); +#18172=EDGE_CURVE('',#4601,#4605,#1459,.T.); +#18173=EDGE_CURVE('',#4605,#4606,#1461,.T.); +#18174=EDGE_CURVE('',#4603,#4606,#1462,.T.); +#18175=EDGE_CURVE('',#4591,#4595,#1464,.T.); +#18176=EDGE_CURVE('',#4595,#4596,#1466,.T.); +#18177=EDGE_CURVE('',#4593,#4596,#1467,.T.); +#18178=EDGE_CURVE('',#4586,#4584,#2094,.T.); +#18179=EDGE_CURVE('',#4585,#4586,#2097,.T.); +#18180=EDGE_CURVE('',#4891,#4895,#1472,.T.); +#18181=EDGE_CURVE('',#4895,#4896,#1474,.T.); +#18182=EDGE_CURVE('',#4893,#4896,#1475,.T.); +#18183=EDGE_CURVE('',#4881,#4885,#1477,.T.); +#18184=EDGE_CURVE('',#4885,#4886,#1479,.T.); +#18185=EDGE_CURVE('',#4883,#4886,#1480,.T.); +#18186=EDGE_CURVE('',#4871,#4875,#1482,.T.); +#18187=EDGE_CURVE('',#4875,#4876,#1484,.T.); +#18188=EDGE_CURVE('',#4873,#4876,#1485,.T.); +#18189=EDGE_CURVE('',#4861,#4865,#1487,.T.); +#18190=EDGE_CURVE('',#4865,#4866,#1489,.T.); +#18191=EDGE_CURVE('',#4863,#4866,#1490,.T.); +#18192=EDGE_CURVE('',#4851,#4855,#1492,.T.); +#18193=EDGE_CURVE('',#4855,#4856,#1494,.T.); +#18194=EDGE_CURVE('',#4853,#4856,#1495,.T.); +#18195=EDGE_CURVE('',#4841,#4845,#1497,.T.); +#18196=EDGE_CURVE('',#4845,#4846,#1499,.T.); +#18197=EDGE_CURVE('',#4843,#4846,#1500,.T.); +#18198=EDGE_CURVE('',#4831,#4835,#1502,.T.); +#18199=EDGE_CURVE('',#4835,#4836,#1504,.T.); +#18200=EDGE_CURVE('',#4833,#4836,#1505,.T.); +#18201=EDGE_CURVE('',#4821,#4825,#1507,.T.); +#18202=EDGE_CURVE('',#4825,#4826,#1509,.T.); +#18203=EDGE_CURVE('',#4823,#4826,#1510,.T.); +#18204=EDGE_CURVE('',#4811,#4815,#1512,.T.); +#18205=EDGE_CURVE('',#4815,#4816,#1514,.T.); +#18206=EDGE_CURVE('',#4813,#4816,#1515,.T.); +#18207=EDGE_CURVE('',#4801,#4805,#1517,.T.); +#18208=EDGE_CURVE('',#4805,#4806,#1519,.T.); +#18209=EDGE_CURVE('',#4803,#4806,#1520,.T.); +#18210=EDGE_CURVE('',#4791,#4795,#1522,.T.); +#18211=EDGE_CURVE('',#4795,#4796,#1524,.T.); +#18212=EDGE_CURVE('',#4793,#4796,#1525,.T.); +#18213=EDGE_CURVE('',#4781,#4785,#1527,.T.); +#18214=EDGE_CURVE('',#4785,#4786,#1529,.T.); +#18215=EDGE_CURVE('',#4783,#4786,#1530,.T.); +#18216=EDGE_CURVE('',#4771,#4775,#1532,.T.); +#18217=EDGE_CURVE('',#4775,#4776,#1534,.T.); +#18218=EDGE_CURVE('',#4773,#4776,#1535,.T.); +#18219=EDGE_CURVE('',#4761,#4765,#1537,.T.); +#18220=EDGE_CURVE('',#4765,#4766,#1539,.T.); +#18221=EDGE_CURVE('',#4763,#4766,#1540,.T.); +#18222=EDGE_CURVE('',#4751,#4755,#1542,.T.); +#18223=EDGE_CURVE('',#4755,#4756,#1544,.T.); +#18224=EDGE_CURVE('',#4753,#4756,#1545,.T.); +#18225=EDGE_CURVE('',#4741,#4745,#1547,.T.); +#18226=EDGE_CURVE('',#4745,#4746,#1549,.T.); +#18227=EDGE_CURVE('',#4743,#4746,#1550,.T.); +#18228=EDGE_CURVE('',#4731,#4735,#1552,.T.); +#18229=EDGE_CURVE('',#4735,#4736,#1554,.T.); +#18230=EDGE_CURVE('',#4733,#4736,#1555,.T.); +#18231=EDGE_CURVE('',#4721,#4725,#1557,.T.); +#18232=EDGE_CURVE('',#4725,#4726,#1559,.T.); +#18233=EDGE_CURVE('',#4723,#4726,#1560,.T.); +#18234=EDGE_CURVE('',#4711,#4715,#1562,.T.); +#18235=EDGE_CURVE('',#4715,#4716,#1564,.T.); +#18236=EDGE_CURVE('',#4713,#4716,#1565,.T.); +#18237=EDGE_CURVE('',#4701,#4705,#1567,.T.); +#18238=EDGE_CURVE('',#4705,#4706,#1569,.T.); +#18239=EDGE_CURVE('',#4703,#4706,#1570,.T.); +#18240=EDGE_CURVE('',#4691,#4695,#1572,.T.); +#18241=EDGE_CURVE('',#4695,#4696,#1574,.T.); +#18242=EDGE_CURVE('',#4693,#4696,#1575,.T.); +#18243=EDGE_CURVE('',#4681,#4685,#1577,.T.); +#18244=EDGE_CURVE('',#4685,#4686,#1579,.T.); +#18245=EDGE_CURVE('',#4683,#4686,#1580,.T.); +#18246=EDGE_CURVE('',#4901,#4902,#1163,.T.); +#18247=EDGE_CURVE('',#4902,#4903,#1166,.T.); +#18248=EDGE_CURVE('',#4903,#4904,#1169,.T.); +#18249=EDGE_CURVE('',#4904,#4901,#1171,.T.); +#18250=EDGE_CURVE('',#4909,#4910,#1173,.T.); +#18251=EDGE_CURVE('',#4910,#4911,#1175,.T.); +#18252=EDGE_CURVE('',#4911,#4912,#1177,.T.); +#18253=EDGE_CURVE('',#4912,#4909,#1179,.T.); +#18254=EDGE_CURVE('',#4917,#4918,#1181,.T.); +#18255=EDGE_CURVE('',#4918,#4919,#1183,.T.); +#18256=EDGE_CURVE('',#4919,#4920,#1185,.T.); +#18257=EDGE_CURVE('',#4920,#4917,#1187,.T.); +#18258=EDGE_CURVE('',#4925,#4926,#1189,.T.); +#18259=EDGE_CURVE('',#4926,#4927,#1191,.T.); +#18260=EDGE_CURVE('',#4927,#4928,#1193,.T.); +#18261=EDGE_CURVE('',#4928,#4925,#1195,.T.); +#18262=EDGE_CURVE('',#4933,#4934,#1197,.T.); +#18263=EDGE_CURVE('',#4934,#4935,#1199,.T.); +#18264=EDGE_CURVE('',#4935,#4936,#1201,.T.); +#18265=EDGE_CURVE('',#4936,#4933,#1203,.T.); +#18266=EDGE_CURVE('',#4941,#4942,#1205,.T.); +#18267=EDGE_CURVE('',#4942,#4943,#1207,.T.); +#18268=EDGE_CURVE('',#4943,#4944,#1209,.T.); +#18269=EDGE_CURVE('',#4944,#4941,#1211,.T.); +#18270=EDGE_CURVE('',#4949,#4950,#1213,.T.); +#18271=EDGE_CURVE('',#4950,#4951,#1215,.T.); +#18272=EDGE_CURVE('',#4951,#4952,#1217,.T.); +#18273=EDGE_CURVE('',#4952,#4949,#1219,.T.); +#18274=EDGE_CURVE('',#4957,#4958,#1221,.T.); +#18275=EDGE_CURVE('',#4958,#4959,#1223,.T.); +#18276=EDGE_CURVE('',#4959,#4960,#1225,.T.); +#18277=EDGE_CURVE('',#4960,#4957,#1227,.T.); +#18278=EDGE_CURVE('',#4965,#4966,#1229,.T.); +#18279=EDGE_CURVE('',#4966,#4967,#1231,.T.); +#18280=EDGE_CURVE('',#4967,#4968,#1233,.T.); +#18281=EDGE_CURVE('',#4968,#4965,#1235,.T.); +#18282=EDGE_CURVE('',#4973,#4974,#1237,.T.); +#18283=EDGE_CURVE('',#4974,#4975,#1239,.T.); +#18284=EDGE_CURVE('',#4975,#4976,#1241,.T.); +#18285=EDGE_CURVE('',#4976,#4973,#1243,.T.); +#18286=EDGE_CURVE('',#4981,#4982,#1245,.T.); +#18287=EDGE_CURVE('',#4982,#4983,#1247,.T.); +#18288=EDGE_CURVE('',#4983,#4984,#1249,.T.); +#18289=EDGE_CURVE('',#4984,#4981,#1251,.T.); +#18290=EDGE_CURVE('',#4989,#4990,#1253,.T.); +#18291=EDGE_CURVE('',#4990,#4991,#1255,.T.); +#18292=EDGE_CURVE('',#4991,#4992,#1257,.T.); +#18293=EDGE_CURVE('',#4992,#4989,#1259,.T.); +#18294=EDGE_CURVE('',#4997,#4998,#1261,.T.); +#18295=EDGE_CURVE('',#4998,#4999,#1263,.T.); +#18296=EDGE_CURVE('',#4999,#5000,#1267,.T.); +#18297=EDGE_CURVE('',#5000,#4997,#1269,.T.); +#18298=EDGE_CURVE('',#5005,#5006,#1271,.T.); +#18299=EDGE_CURVE('',#5006,#5007,#1273,.T.); +#18300=EDGE_CURVE('',#5007,#5008,#1275,.T.); +#18301=EDGE_CURVE('',#5008,#5005,#1277,.T.); +#18302=EDGE_CURVE('',#5013,#5014,#1279,.T.); +#18303=EDGE_CURVE('',#5014,#5015,#1281,.T.); +#18304=EDGE_CURVE('',#5015,#5016,#1283,.T.); +#18305=EDGE_CURVE('',#5016,#5013,#1285,.T.); +#18306=EDGE_CURVE('',#5021,#5022,#1287,.T.); +#18307=EDGE_CURVE('',#5022,#5023,#1289,.T.); +#18308=EDGE_CURVE('',#5023,#5024,#1291,.T.); +#18309=EDGE_CURVE('',#5024,#5021,#1293,.T.); +#18310=EDGE_CURVE('',#5029,#5030,#1295,.T.); +#18311=EDGE_CURVE('',#5030,#5031,#1297,.T.); +#18312=EDGE_CURVE('',#5031,#5032,#1299,.T.); +#18313=EDGE_CURVE('',#5032,#5029,#1301,.T.); +#18314=EDGE_CURVE('',#5037,#5038,#1303,.T.); +#18315=EDGE_CURVE('',#5038,#5039,#1305,.T.); +#18316=EDGE_CURVE('',#5039,#5040,#1307,.T.); +#18317=EDGE_CURVE('',#5040,#5037,#1309,.T.); +#18318=EDGE_CURVE('',#5045,#5046,#1311,.T.); +#18319=EDGE_CURVE('',#5046,#5047,#1313,.T.); +#18320=EDGE_CURVE('',#5047,#5048,#1315,.T.); +#18321=EDGE_CURVE('',#5048,#5045,#1317,.T.); +#18322=EDGE_CURVE('',#5053,#5054,#1319,.T.); +#18323=EDGE_CURVE('',#5054,#5055,#1321,.T.); +#18324=EDGE_CURVE('',#5055,#5056,#1323,.T.); +#18325=EDGE_CURVE('',#5056,#5053,#1325,.T.); +#18326=EDGE_CURVE('',#5061,#5062,#1327,.T.); +#18327=EDGE_CURVE('',#5062,#5063,#1329,.T.); +#18328=EDGE_CURVE('',#5063,#5064,#1331,.T.); +#18329=EDGE_CURVE('',#5064,#5061,#1333,.T.); +#18330=EDGE_CURVE('',#5069,#5070,#1335,.T.); +#18331=EDGE_CURVE('',#5070,#5071,#1337,.T.); +#18332=EDGE_CURVE('',#5071,#5072,#1339,.T.); +#18333=EDGE_CURVE('',#5072,#5069,#1341,.T.); +#18334=EDGE_CURVE('',#5077,#5078,#1343,.T.); +#18335=EDGE_CURVE('',#5078,#5079,#1345,.T.); +#18336=EDGE_CURVE('',#5079,#5080,#1347,.T.); +#18337=EDGE_CURVE('',#5080,#5077,#1349,.T.); +#18338=EDGE_CURVE('',#5085,#5086,#1351,.T.); +#18339=EDGE_CURVE('',#5086,#5087,#1353,.T.); +#18340=EDGE_CURVE('',#5087,#5088,#1355,.T.); +#18341=EDGE_CURVE('',#5088,#5085,#1357,.T.); +#18342=EDGE_CURVE('',#5093,#5094,#1359,.T.); +#18343=EDGE_CURVE('',#5094,#5095,#1361,.T.); +#18344=EDGE_CURVE('',#5095,#5096,#1363,.T.); +#18345=EDGE_CURVE('',#5096,#5093,#1365,.T.); +#18346=EDGE_CURVE('',#5101,#5102,#1367,.T.); +#18347=EDGE_CURVE('',#5102,#5103,#1369,.T.); +#18348=EDGE_CURVE('',#5103,#5104,#1371,.T.); +#18349=EDGE_CURVE('',#5104,#5101,#1373,.T.); +#18350=EDGE_CURVE('',#5109,#5110,#1375,.T.); +#18351=EDGE_CURVE('',#5110,#5111,#1377,.T.); +#18352=EDGE_CURVE('',#5111,#5112,#1379,.T.); +#18353=EDGE_CURVE('',#5112,#5109,#1381,.T.); +#18354=EDGE_CURVE('',#5117,#5118,#1383,.T.); +#18355=EDGE_CURVE('',#5118,#5119,#1385,.T.); +#18356=EDGE_CURVE('',#5119,#5120,#1387,.T.); +#18357=EDGE_CURVE('',#5120,#5117,#1389,.T.); +#18358=EDGE_CURVE('',#5125,#5126,#1391,.T.); +#18359=EDGE_CURVE('',#5126,#5127,#1393,.T.); +#18360=EDGE_CURVE('',#5127,#5128,#1395,.T.); +#18361=EDGE_CURVE('',#5128,#5125,#1397,.T.); +#18362=EDGE_CURVE('',#5133,#5134,#1399,.T.); +#18363=EDGE_CURVE('',#5134,#5135,#1401,.T.); +#18364=EDGE_CURVE('',#5135,#5136,#1403,.T.); +#18365=EDGE_CURVE('',#5136,#5133,#1405,.T.); +#18366=EDGE_CURVE('',#5141,#5142,#1407,.T.); +#18367=EDGE_CURVE('',#5142,#5143,#1409,.T.); +#18368=EDGE_CURVE('',#5143,#5144,#1411,.T.); +#18369=EDGE_CURVE('',#5144,#5141,#1413,.T.); +#18370=EDGE_CURVE('',#5149,#5150,#1415,.T.); +#18371=EDGE_CURVE('',#5150,#5151,#1417,.T.); +#18372=EDGE_CURVE('',#5151,#5152,#1419,.T.); +#18373=EDGE_CURVE('',#5152,#5149,#1421,.T.); +#18374=EDGE_CURVE('',#4901,#4897,#1582,.T.); +#18375=EDGE_CURVE('',#4897,#4898,#1587,.T.); +#18376=EDGE_CURVE('',#4902,#4898,#1585,.T.); +#18377=EDGE_CURVE('',#4904,#4900,#1583,.T.); +#18378=EDGE_CURVE('',#4900,#4897,#1589,.T.); +#18379=EDGE_CURVE('',#4903,#4899,#1584,.T.); +#18380=EDGE_CURVE('',#4899,#4900,#1591,.T.); +#18381=EDGE_CURVE('',#4898,#4899,#1593,.T.); +#18382=EDGE_CURVE('',#4909,#4905,#1594,.T.); +#18383=EDGE_CURVE('',#4905,#4906,#1599,.T.); +#18384=EDGE_CURVE('',#4910,#4906,#1597,.T.); +#18385=EDGE_CURVE('',#4912,#4908,#1595,.T.); +#18386=EDGE_CURVE('',#4908,#4905,#1601,.T.); +#18387=EDGE_CURVE('',#4911,#4907,#1596,.T.); +#18388=EDGE_CURVE('',#4907,#4908,#1603,.T.); +#18389=EDGE_CURVE('',#4906,#4907,#1605,.T.); +#18390=EDGE_CURVE('',#4917,#4913,#1606,.T.); +#18391=EDGE_CURVE('',#4913,#4914,#1611,.T.); +#18392=EDGE_CURVE('',#4918,#4914,#1609,.T.); +#18393=EDGE_CURVE('',#4920,#4916,#1607,.T.); +#18394=EDGE_CURVE('',#4916,#4913,#1613,.T.); +#18395=EDGE_CURVE('',#4919,#4915,#1608,.T.); +#18396=EDGE_CURVE('',#4915,#4916,#1615,.T.); +#18397=EDGE_CURVE('',#4914,#4915,#1617,.T.); +#18398=EDGE_CURVE('',#4925,#4921,#1618,.T.); +#18399=EDGE_CURVE('',#4921,#4922,#1623,.T.); +#18400=EDGE_CURVE('',#4926,#4922,#1621,.T.); +#18401=EDGE_CURVE('',#4928,#4924,#1619,.T.); +#18402=EDGE_CURVE('',#4924,#4921,#1625,.T.); +#18403=EDGE_CURVE('',#4927,#4923,#1620,.T.); +#18404=EDGE_CURVE('',#4923,#4924,#1627,.T.); +#18405=EDGE_CURVE('',#4922,#4923,#1629,.T.); +#18406=EDGE_CURVE('',#4933,#4929,#1630,.T.); +#18407=EDGE_CURVE('',#4929,#4930,#1635,.T.); +#18408=EDGE_CURVE('',#4934,#4930,#1633,.T.); +#18409=EDGE_CURVE('',#4936,#4932,#1631,.T.); +#18410=EDGE_CURVE('',#4932,#4929,#1637,.T.); +#18411=EDGE_CURVE('',#4935,#4931,#1632,.T.); +#18412=EDGE_CURVE('',#4931,#4932,#1639,.T.); +#18413=EDGE_CURVE('',#4930,#4931,#1641,.T.); +#18414=EDGE_CURVE('',#4941,#4937,#1642,.T.); +#18415=EDGE_CURVE('',#4937,#4938,#1647,.T.); +#18416=EDGE_CURVE('',#4942,#4938,#1645,.T.); +#18417=EDGE_CURVE('',#4944,#4940,#1643,.T.); +#18418=EDGE_CURVE('',#4940,#4937,#1649,.T.); +#18419=EDGE_CURVE('',#4943,#4939,#1644,.T.); +#18420=EDGE_CURVE('',#4939,#4940,#1651,.T.); +#18421=EDGE_CURVE('',#4938,#4939,#1653,.T.); +#18422=EDGE_CURVE('',#4949,#4945,#1654,.T.); +#18423=EDGE_CURVE('',#4945,#4946,#1659,.T.); +#18424=EDGE_CURVE('',#4950,#4946,#1657,.T.); +#18425=EDGE_CURVE('',#4952,#4948,#1655,.T.); +#18426=EDGE_CURVE('',#4948,#4945,#1661,.T.); +#18427=EDGE_CURVE('',#4951,#4947,#1656,.T.); +#18428=EDGE_CURVE('',#4947,#4948,#1663,.T.); +#18429=EDGE_CURVE('',#4946,#4947,#1665,.T.); +#18430=EDGE_CURVE('',#4957,#4953,#1666,.T.); +#18431=EDGE_CURVE('',#4953,#4954,#1671,.T.); +#18432=EDGE_CURVE('',#4958,#4954,#1669,.T.); +#18433=EDGE_CURVE('',#4960,#4956,#1667,.T.); +#18434=EDGE_CURVE('',#4956,#4953,#1673,.T.); +#18435=EDGE_CURVE('',#4959,#4955,#1668,.T.); +#18436=EDGE_CURVE('',#4955,#4956,#1675,.T.); +#18437=EDGE_CURVE('',#4954,#4955,#1677,.T.); +#18438=EDGE_CURVE('',#4965,#4961,#1678,.T.); +#18439=EDGE_CURVE('',#4961,#4962,#1683,.T.); +#18440=EDGE_CURVE('',#4966,#4962,#1681,.T.); +#18441=EDGE_CURVE('',#4968,#4964,#1679,.T.); +#18442=EDGE_CURVE('',#4964,#4961,#1685,.T.); +#18443=EDGE_CURVE('',#4967,#4963,#1680,.T.); +#18444=EDGE_CURVE('',#4963,#4964,#1687,.T.); +#18445=EDGE_CURVE('',#4962,#4963,#1689,.T.); +#18446=EDGE_CURVE('',#4973,#4969,#1690,.T.); +#18447=EDGE_CURVE('',#4969,#4970,#1695,.T.); +#18448=EDGE_CURVE('',#4974,#4970,#1693,.T.); +#18449=EDGE_CURVE('',#4976,#4972,#1691,.T.); +#18450=EDGE_CURVE('',#4972,#4969,#1697,.T.); +#18451=EDGE_CURVE('',#4975,#4971,#1692,.T.); +#18452=EDGE_CURVE('',#4971,#4972,#1699,.T.); +#18453=EDGE_CURVE('',#4970,#4971,#1701,.T.); +#18454=EDGE_CURVE('',#4981,#4977,#1702,.T.); +#18455=EDGE_CURVE('',#4977,#4978,#1707,.T.); +#18456=EDGE_CURVE('',#4982,#4978,#1705,.T.); +#18457=EDGE_CURVE('',#4984,#4980,#1703,.T.); +#18458=EDGE_CURVE('',#4980,#4977,#1709,.T.); +#18459=EDGE_CURVE('',#4983,#4979,#1704,.T.); +#18460=EDGE_CURVE('',#4979,#4980,#1711,.T.); +#18461=EDGE_CURVE('',#4978,#4979,#1713,.T.); +#18462=EDGE_CURVE('',#4989,#4985,#1714,.T.); +#18463=EDGE_CURVE('',#4985,#4986,#1719,.T.); +#18464=EDGE_CURVE('',#4990,#4986,#1717,.T.); +#18465=EDGE_CURVE('',#4992,#4988,#1715,.T.); +#18466=EDGE_CURVE('',#4988,#4985,#1721,.T.); +#18467=EDGE_CURVE('',#4991,#4987,#1716,.T.); +#18468=EDGE_CURVE('',#4987,#4988,#1723,.T.); +#18469=EDGE_CURVE('',#4986,#4987,#1725,.T.); +#18470=EDGE_CURVE('',#4997,#4993,#1726,.T.); +#18471=EDGE_CURVE('',#4993,#4994,#1731,.T.); +#18472=EDGE_CURVE('',#4998,#4994,#1729,.T.); +#18473=EDGE_CURVE('',#5000,#4996,#1727,.T.); +#18474=EDGE_CURVE('',#4996,#4993,#1733,.T.); +#18475=EDGE_CURVE('',#4999,#4995,#1728,.T.); +#18476=EDGE_CURVE('',#4995,#4996,#1735,.T.); +#18477=EDGE_CURVE('',#4994,#4995,#1737,.T.); +#18478=EDGE_CURVE('',#5005,#5001,#1738,.T.); +#18479=EDGE_CURVE('',#5001,#5002,#1743,.T.); +#18480=EDGE_CURVE('',#5006,#5002,#1741,.T.); +#18481=EDGE_CURVE('',#5008,#5004,#1739,.T.); +#18482=EDGE_CURVE('',#5004,#5001,#1745,.T.); +#18483=EDGE_CURVE('',#5007,#5003,#1740,.T.); +#18484=EDGE_CURVE('',#5003,#5004,#1747,.T.); +#18485=EDGE_CURVE('',#5002,#5003,#1749,.T.); +#18486=EDGE_CURVE('',#5013,#5009,#1750,.T.); +#18487=EDGE_CURVE('',#5009,#5010,#1755,.T.); +#18488=EDGE_CURVE('',#5014,#5010,#1753,.T.); +#18489=EDGE_CURVE('',#5016,#5012,#1751,.T.); +#18490=EDGE_CURVE('',#5012,#5009,#1757,.T.); +#18491=EDGE_CURVE('',#5015,#5011,#1752,.T.); +#18492=EDGE_CURVE('',#5011,#5012,#1759,.T.); +#18493=EDGE_CURVE('',#5010,#5011,#1761,.T.); +#18494=EDGE_CURVE('',#5021,#5017,#1762,.T.); +#18495=EDGE_CURVE('',#5017,#5018,#1767,.T.); +#18496=EDGE_CURVE('',#5022,#5018,#1765,.T.); +#18497=EDGE_CURVE('',#5024,#5020,#1763,.T.); +#18498=EDGE_CURVE('',#5020,#5017,#1769,.T.); +#18499=EDGE_CURVE('',#5023,#5019,#1764,.T.); +#18500=EDGE_CURVE('',#5019,#5020,#1771,.T.); +#18501=EDGE_CURVE('',#5018,#5019,#1773,.T.); +#18502=EDGE_CURVE('',#5029,#5025,#1774,.T.); +#18503=EDGE_CURVE('',#5025,#5026,#1779,.T.); +#18504=EDGE_CURVE('',#5030,#5026,#1777,.T.); +#18505=EDGE_CURVE('',#5032,#5028,#1775,.T.); +#18506=EDGE_CURVE('',#5028,#5025,#1781,.T.); +#18507=EDGE_CURVE('',#5031,#5027,#1776,.T.); +#18508=EDGE_CURVE('',#5027,#5028,#1783,.T.); +#18509=EDGE_CURVE('',#5026,#5027,#1785,.T.); +#18510=EDGE_CURVE('',#5037,#5033,#1786,.T.); +#18511=EDGE_CURVE('',#5033,#5034,#1791,.T.); +#18512=EDGE_CURVE('',#5038,#5034,#1789,.T.); +#18513=EDGE_CURVE('',#5040,#5036,#1787,.T.); +#18514=EDGE_CURVE('',#5036,#5033,#1793,.T.); +#18515=EDGE_CURVE('',#5039,#5035,#1788,.T.); +#18516=EDGE_CURVE('',#5035,#5036,#1795,.T.); +#18517=EDGE_CURVE('',#5034,#5035,#1797,.T.); +#18518=EDGE_CURVE('',#5045,#5041,#1798,.T.); +#18519=EDGE_CURVE('',#5041,#5042,#1803,.T.); +#18520=EDGE_CURVE('',#5046,#5042,#1801,.T.); +#18521=EDGE_CURVE('',#5048,#5044,#1799,.T.); +#18522=EDGE_CURVE('',#5044,#5041,#1805,.T.); +#18523=EDGE_CURVE('',#5047,#5043,#1800,.T.); +#18524=EDGE_CURVE('',#5043,#5044,#1807,.T.); +#18525=EDGE_CURVE('',#5042,#5043,#1809,.T.); +#18526=EDGE_CURVE('',#5053,#5049,#1810,.T.); +#18527=EDGE_CURVE('',#5049,#5050,#1815,.T.); +#18528=EDGE_CURVE('',#5054,#5050,#1813,.T.); +#18529=EDGE_CURVE('',#5056,#5052,#1811,.T.); +#18530=EDGE_CURVE('',#5052,#5049,#1817,.T.); +#18531=EDGE_CURVE('',#5055,#5051,#1812,.T.); +#18532=EDGE_CURVE('',#5051,#5052,#1819,.T.); +#18533=EDGE_CURVE('',#5050,#5051,#1821,.T.); +#18534=EDGE_CURVE('',#5061,#5057,#1822,.T.); +#18535=EDGE_CURVE('',#5057,#5058,#1827,.T.); +#18536=EDGE_CURVE('',#5062,#5058,#1825,.T.); +#18537=EDGE_CURVE('',#5064,#5060,#1823,.T.); +#18538=EDGE_CURVE('',#5060,#5057,#1829,.T.); +#18539=EDGE_CURVE('',#5063,#5059,#1824,.T.); +#18540=EDGE_CURVE('',#5059,#5060,#1831,.T.); +#18541=EDGE_CURVE('',#5058,#5059,#1833,.T.); +#18542=EDGE_CURVE('',#5069,#5065,#1834,.T.); +#18543=EDGE_CURVE('',#5065,#5066,#1839,.T.); +#18544=EDGE_CURVE('',#5070,#5066,#1837,.T.); +#18545=EDGE_CURVE('',#5072,#5068,#1835,.T.); +#18546=EDGE_CURVE('',#5068,#5065,#1841,.T.); +#18547=EDGE_CURVE('',#5071,#5067,#1836,.T.); +#18548=EDGE_CURVE('',#5067,#5068,#1843,.T.); +#18549=EDGE_CURVE('',#5066,#5067,#1845,.T.); +#18550=EDGE_CURVE('',#5077,#5073,#1846,.T.); +#18551=EDGE_CURVE('',#5073,#5074,#1851,.T.); +#18552=EDGE_CURVE('',#5078,#5074,#1849,.T.); +#18553=EDGE_CURVE('',#5080,#5076,#1847,.T.); +#18554=EDGE_CURVE('',#5076,#5073,#1853,.T.); +#18555=EDGE_CURVE('',#5079,#5075,#1848,.T.); +#18556=EDGE_CURVE('',#5075,#5076,#1855,.T.); +#18557=EDGE_CURVE('',#5074,#5075,#1857,.T.); +#18558=EDGE_CURVE('',#5085,#5081,#1858,.T.); +#18559=EDGE_CURVE('',#5081,#5082,#1863,.T.); +#18560=EDGE_CURVE('',#5086,#5082,#1861,.T.); +#18561=EDGE_CURVE('',#5088,#5084,#1859,.T.); +#18562=EDGE_CURVE('',#5084,#5081,#1865,.T.); +#18563=EDGE_CURVE('',#5087,#5083,#1860,.T.); +#18564=EDGE_CURVE('',#5083,#5084,#1867,.T.); +#18565=EDGE_CURVE('',#5082,#5083,#1869,.T.); +#18566=EDGE_CURVE('',#5093,#5089,#1870,.T.); +#18567=EDGE_CURVE('',#5089,#5090,#1875,.T.); +#18568=EDGE_CURVE('',#5094,#5090,#1873,.T.); +#18569=EDGE_CURVE('',#5096,#5092,#1871,.T.); +#18570=EDGE_CURVE('',#5092,#5089,#1877,.T.); +#18571=EDGE_CURVE('',#5095,#5091,#1872,.T.); +#18572=EDGE_CURVE('',#5091,#5092,#1879,.T.); +#18573=EDGE_CURVE('',#5090,#5091,#1881,.T.); +#18574=EDGE_CURVE('',#5101,#5097,#1882,.T.); +#18575=EDGE_CURVE('',#5097,#5098,#1887,.T.); +#18576=EDGE_CURVE('',#5102,#5098,#1885,.T.); +#18577=EDGE_CURVE('',#5104,#5100,#1883,.T.); +#18578=EDGE_CURVE('',#5100,#5097,#1889,.T.); +#18579=EDGE_CURVE('',#5103,#5099,#1884,.T.); +#18580=EDGE_CURVE('',#5099,#5100,#1891,.T.); +#18581=EDGE_CURVE('',#5098,#5099,#1893,.T.); +#18582=EDGE_CURVE('',#5109,#5105,#1894,.T.); +#18583=EDGE_CURVE('',#5105,#5106,#1899,.T.); +#18584=EDGE_CURVE('',#5110,#5106,#1897,.T.); +#18585=EDGE_CURVE('',#5112,#5108,#1895,.T.); +#18586=EDGE_CURVE('',#5108,#5105,#1901,.T.); +#18587=EDGE_CURVE('',#5111,#5107,#1896,.T.); +#18588=EDGE_CURVE('',#5107,#5108,#1903,.T.); +#18589=EDGE_CURVE('',#5106,#5107,#1905,.T.); +#18590=EDGE_CURVE('',#5117,#5113,#1906,.T.); +#18591=EDGE_CURVE('',#5113,#5114,#1911,.T.); +#18592=EDGE_CURVE('',#5118,#5114,#1909,.T.); +#18593=EDGE_CURVE('',#5120,#5116,#1907,.T.); +#18594=EDGE_CURVE('',#5116,#5113,#1913,.T.); +#18595=EDGE_CURVE('',#5119,#5115,#1908,.T.); +#18596=EDGE_CURVE('',#5115,#5116,#1915,.T.); +#18597=EDGE_CURVE('',#5114,#5115,#1917,.T.); +#18598=EDGE_CURVE('',#5125,#5121,#1918,.T.); +#18599=EDGE_CURVE('',#5121,#5122,#1923,.T.); +#18600=EDGE_CURVE('',#5126,#5122,#1921,.T.); +#18601=EDGE_CURVE('',#5128,#5124,#1919,.T.); +#18602=EDGE_CURVE('',#5124,#5121,#1925,.T.); +#18603=EDGE_CURVE('',#5127,#5123,#1920,.T.); +#18604=EDGE_CURVE('',#5123,#5124,#1927,.T.); +#18605=EDGE_CURVE('',#5122,#5123,#1929,.T.); +#18606=EDGE_CURVE('',#5133,#5129,#1930,.T.); +#18607=EDGE_CURVE('',#5129,#5130,#1935,.T.); +#18608=EDGE_CURVE('',#5134,#5130,#1933,.T.); +#18609=EDGE_CURVE('',#5136,#5132,#1931,.T.); +#18610=EDGE_CURVE('',#5132,#5129,#1937,.T.); +#18611=EDGE_CURVE('',#5135,#5131,#1932,.T.); +#18612=EDGE_CURVE('',#5131,#5132,#1939,.T.); +#18613=EDGE_CURVE('',#5130,#5131,#1941,.T.); +#18614=EDGE_CURVE('',#5141,#5137,#1942,.T.); +#18615=EDGE_CURVE('',#5137,#5138,#1947,.T.); +#18616=EDGE_CURVE('',#5142,#5138,#1945,.T.); +#18617=EDGE_CURVE('',#5144,#5140,#1943,.T.); +#18618=EDGE_CURVE('',#5140,#5137,#1949,.T.); +#18619=EDGE_CURVE('',#5143,#5139,#1944,.T.); +#18620=EDGE_CURVE('',#5139,#5140,#1951,.T.); +#18621=EDGE_CURVE('',#5138,#5139,#1953,.T.); +#18622=EDGE_CURVE('',#5149,#5145,#1954,.T.); +#18623=EDGE_CURVE('',#5145,#5146,#1959,.T.); +#18624=EDGE_CURVE('',#5150,#5146,#1957,.T.); +#18625=EDGE_CURVE('',#5152,#5148,#1955,.T.); +#18626=EDGE_CURVE('',#5148,#5145,#1961,.T.); +#18627=EDGE_CURVE('',#5151,#5147,#1956,.T.); +#18628=EDGE_CURVE('',#5147,#5148,#1963,.T.); +#18629=EDGE_CURVE('',#5146,#5147,#1965,.T.); +#18630=EDGE_CURVE('',#4672,#4667,#1968,.T.); +#18631=EDGE_CURVE('',#4667,#4668,#1974,.T.); +#18632=EDGE_CURVE('',#4675,#4668,#1972,.T.); +#18633=EDGE_CURVE('',#4674,#4670,#1969,.T.); +#18634=EDGE_CURVE('',#4670,#4667,#1976,.T.); +#18635=EDGE_CURVE('',#4676,#4669,#1971,.T.); +#18636=EDGE_CURVE('',#4669,#4670,#1978,.T.); +#18637=EDGE_CURVE('',#4668,#4669,#1980,.T.); +#18638=EDGE_CURVE('',#4662,#4657,#1982,.T.); +#18639=EDGE_CURVE('',#4657,#4658,#1988,.T.); +#18640=EDGE_CURVE('',#4665,#4658,#1986,.T.); +#18641=EDGE_CURVE('',#4664,#4660,#1983,.T.); +#18642=EDGE_CURVE('',#4660,#4657,#1990,.T.); +#18643=EDGE_CURVE('',#4666,#4659,#1985,.T.); +#18644=EDGE_CURVE('',#4659,#4660,#1992,.T.); +#18645=EDGE_CURVE('',#4658,#4659,#1994,.T.); +#18646=EDGE_CURVE('',#4652,#4647,#1996,.T.); +#18647=EDGE_CURVE('',#4647,#4648,#2002,.T.); +#18648=EDGE_CURVE('',#4655,#4648,#2000,.T.); +#18649=EDGE_CURVE('',#4654,#4650,#1997,.T.); +#18650=EDGE_CURVE('',#4650,#4647,#2004,.T.); +#18651=EDGE_CURVE('',#4656,#4649,#1999,.T.); +#18652=EDGE_CURVE('',#4649,#4650,#2006,.T.); +#18653=EDGE_CURVE('',#4648,#4649,#2008,.T.); +#18654=EDGE_CURVE('',#4642,#4637,#2010,.T.); +#18655=EDGE_CURVE('',#4637,#4638,#2016,.T.); +#18656=EDGE_CURVE('',#4645,#4638,#2014,.T.); +#18657=EDGE_CURVE('',#4644,#4640,#2011,.T.); +#18658=EDGE_CURVE('',#4640,#4637,#2018,.T.); +#18659=EDGE_CURVE('',#4646,#4639,#2013,.T.); +#18660=EDGE_CURVE('',#4639,#4640,#2020,.T.); +#18661=EDGE_CURVE('',#4638,#4639,#2022,.T.); +#18662=EDGE_CURVE('',#4632,#4627,#2024,.T.); +#18663=EDGE_CURVE('',#4627,#4628,#2030,.T.); +#18664=EDGE_CURVE('',#4635,#4628,#2028,.T.); +#18665=EDGE_CURVE('',#4634,#4630,#2025,.T.); +#18666=EDGE_CURVE('',#4630,#4627,#2032,.T.); +#18667=EDGE_CURVE('',#4636,#4629,#2027,.T.); +#18668=EDGE_CURVE('',#4629,#4630,#2034,.T.); +#18669=EDGE_CURVE('',#4628,#4629,#2036,.T.); +#18670=EDGE_CURVE('',#4622,#4617,#2038,.T.); +#18671=EDGE_CURVE('',#4617,#4618,#2044,.T.); +#18672=EDGE_CURVE('',#4625,#4618,#2042,.T.); +#18673=EDGE_CURVE('',#4624,#4620,#2039,.T.); +#18674=EDGE_CURVE('',#4620,#4617,#2046,.T.); +#18675=EDGE_CURVE('',#4626,#4619,#2041,.T.); +#18676=EDGE_CURVE('',#4619,#4620,#2048,.T.); +#18677=EDGE_CURVE('',#4618,#4619,#2050,.T.); +#18678=EDGE_CURVE('',#4612,#4607,#2052,.T.); +#18679=EDGE_CURVE('',#4607,#4608,#2058,.T.); +#18680=EDGE_CURVE('',#4615,#4608,#2056,.T.); +#18681=EDGE_CURVE('',#4614,#4610,#2053,.T.); +#18682=EDGE_CURVE('',#4610,#4607,#2060,.T.); +#18683=EDGE_CURVE('',#4616,#4609,#2055,.T.); +#18684=EDGE_CURVE('',#4609,#4610,#2062,.T.); +#18685=EDGE_CURVE('',#4608,#4609,#2064,.T.); +#18686=EDGE_CURVE('',#4602,#4597,#2066,.T.); +#18687=EDGE_CURVE('',#4597,#4598,#2072,.T.); +#18688=EDGE_CURVE('',#4605,#4598,#2070,.T.); +#18689=EDGE_CURVE('',#4604,#4600,#2067,.T.); +#18690=EDGE_CURVE('',#4600,#4597,#2074,.T.); +#18691=EDGE_CURVE('',#4606,#4599,#2069,.T.); +#18692=EDGE_CURVE('',#4599,#4600,#2076,.T.); +#18693=EDGE_CURVE('',#4598,#4599,#2078,.T.); +#18694=EDGE_CURVE('',#4592,#4587,#2080,.T.); +#18695=EDGE_CURVE('',#4587,#4588,#2086,.T.); +#18696=EDGE_CURVE('',#4595,#4588,#2084,.T.); +#18697=EDGE_CURVE('',#4594,#4590,#2081,.T.); +#18698=EDGE_CURVE('',#4590,#4587,#2088,.T.); +#18699=EDGE_CURVE('',#4596,#4589,#2083,.T.); +#18700=EDGE_CURVE('',#4589,#4590,#2090,.T.); +#18701=EDGE_CURVE('',#4588,#4589,#2092,.T.); +#18702=EDGE_CURVE('',#4586,#4572,#2098,.T.); +#18703=EDGE_CURVE('',#4559,#4566,#2095,.T.); +#18704=EDGE_CURVE('',#4560,#4567,#3008,.T.); +#18705=EDGE_CURVE('',#4558,#4565,#2099,.T.); +#18706=EDGE_CURVE('',#4575,#4578,#2102,.T.); +#18707=EDGE_CURVE('',#4578,#4579,#2105,.T.); +#18708=EDGE_CURVE('',#4579,#4582,#2107,.T.); +#18709=EDGE_CURVE('',#4557,#4564,#2108,.T.); +#18710=EDGE_CURVE('',#4574,#4576,#2109,.T.); +#18711=EDGE_CURVE('',#4578,#4576,#2420,.T.); +#18712=EDGE_CURVE('',#4555,#4562,#2110,.T.); +#18713=EDGE_CURVE('',#4556,#4563,#2116,.T.); +#18714=EDGE_CURVE('',#4577,#4580,#2112,.T.); +#18715=EDGE_CURVE('',#4576,#4577,#2114,.T.); +#18716=EDGE_CURVE('',#5499,#5503,#2120,.T.); +#18717=EDGE_CURVE('',#5503,#5504,#2122,.T.); +#18718=EDGE_CURVE('',#5501,#5504,#2124,.T.); +#18719=EDGE_CURVE('',#5501,#5489,#2125,.T.); +#18720=EDGE_CURVE('',#5489,#5493,#2127,.T.); +#18721=EDGE_CURVE('',#5493,#5494,#2129,.T.); +#18722=EDGE_CURVE('',#5491,#5494,#2131,.T.); +#18723=EDGE_CURVE('',#5491,#5479,#2132,.T.); +#18724=EDGE_CURVE('',#5479,#5483,#2134,.T.); +#18725=EDGE_CURVE('',#5483,#5484,#2136,.T.); +#18726=EDGE_CURVE('',#5481,#5484,#2138,.T.); +#18727=EDGE_CURVE('',#5481,#5469,#2139,.T.); +#18728=EDGE_CURVE('',#5469,#5473,#2141,.T.); +#18729=EDGE_CURVE('',#5473,#5474,#2143,.T.); +#18730=EDGE_CURVE('',#5471,#5474,#2145,.T.); +#18731=EDGE_CURVE('',#5471,#5459,#2146,.T.); +#18732=EDGE_CURVE('',#5459,#5463,#2148,.T.); +#18733=EDGE_CURVE('',#5463,#5464,#2150,.T.); +#18734=EDGE_CURVE('',#5461,#5464,#2152,.T.); +#18735=EDGE_CURVE('',#5461,#5449,#2153,.T.); +#18736=EDGE_CURVE('',#5449,#5453,#2155,.T.); +#18737=EDGE_CURVE('',#5453,#5454,#2157,.T.); +#18738=EDGE_CURVE('',#5451,#5454,#2159,.T.); +#18739=EDGE_CURVE('',#5451,#5439,#2160,.T.); +#18740=EDGE_CURVE('',#5439,#5443,#2162,.T.); +#18741=EDGE_CURVE('',#5443,#5444,#2164,.T.); +#18742=EDGE_CURVE('',#5441,#5444,#2166,.T.); +#18743=EDGE_CURVE('',#5441,#5429,#2167,.T.); +#18744=EDGE_CURVE('',#5429,#5433,#2169,.T.); +#18745=EDGE_CURVE('',#5433,#5434,#2171,.T.); +#18746=EDGE_CURVE('',#5431,#5434,#2173,.T.); +#18747=EDGE_CURVE('',#5431,#5419,#2174,.T.); +#18748=EDGE_CURVE('',#5419,#5423,#2176,.T.); +#18749=EDGE_CURVE('',#5423,#5424,#2178,.T.); +#18750=EDGE_CURVE('',#5421,#5424,#2180,.T.); +#18751=EDGE_CURVE('',#5421,#5409,#2181,.T.); +#18752=EDGE_CURVE('',#5409,#5413,#2183,.T.); +#18753=EDGE_CURVE('',#5413,#5414,#2185,.T.); +#18754=EDGE_CURVE('',#5411,#5414,#2187,.T.); +#18755=EDGE_CURVE('',#5411,#5399,#2188,.T.); +#18756=EDGE_CURVE('',#5399,#5403,#2190,.T.); +#18757=EDGE_CURVE('',#5403,#5404,#2192,.T.); +#18758=EDGE_CURVE('',#5401,#5404,#2194,.T.); +#18759=EDGE_CURVE('',#5401,#5389,#2195,.T.); +#18760=EDGE_CURVE('',#5389,#5393,#2197,.T.); +#18761=EDGE_CURVE('',#5393,#5394,#2199,.T.); +#18762=EDGE_CURVE('',#5391,#5394,#2201,.T.); +#18763=EDGE_CURVE('',#5391,#5379,#2202,.T.); +#18764=EDGE_CURVE('',#5379,#5383,#2204,.T.); +#18765=EDGE_CURVE('',#5383,#5384,#2206,.T.); +#18766=EDGE_CURVE('',#5381,#5384,#2208,.T.); +#18767=EDGE_CURVE('',#5381,#5369,#2209,.T.); +#18768=EDGE_CURVE('',#5369,#5373,#2211,.T.); +#18769=EDGE_CURVE('',#5373,#5374,#2213,.T.); +#18770=EDGE_CURVE('',#5371,#5374,#2215,.T.); +#18771=EDGE_CURVE('',#5371,#5359,#2216,.T.); +#18772=EDGE_CURVE('',#5359,#5363,#2218,.T.); +#18773=EDGE_CURVE('',#5363,#5364,#2220,.T.); +#18774=EDGE_CURVE('',#5361,#5364,#2222,.T.); +#18775=EDGE_CURVE('',#5361,#5349,#2223,.T.); +#18776=EDGE_CURVE('',#5349,#5353,#2225,.T.); +#18777=EDGE_CURVE('',#5353,#5354,#2227,.T.); +#18778=EDGE_CURVE('',#5351,#5354,#2229,.T.); +#18779=EDGE_CURVE('',#5351,#5339,#2230,.T.); +#18780=EDGE_CURVE('',#5339,#5343,#2232,.T.); +#18781=EDGE_CURVE('',#5343,#5344,#2234,.T.); +#18782=EDGE_CURVE('',#5341,#5344,#2236,.T.); +#18783=EDGE_CURVE('',#5341,#5329,#2237,.T.); +#18784=EDGE_CURVE('',#5329,#5333,#2239,.T.); +#18785=EDGE_CURVE('',#5333,#5334,#2241,.T.); +#18786=EDGE_CURVE('',#5331,#5334,#2243,.T.); +#18787=EDGE_CURVE('',#5331,#5319,#2244,.T.); +#18788=EDGE_CURVE('',#5319,#5323,#2246,.T.); +#18789=EDGE_CURVE('',#5323,#5324,#2248,.T.); +#18790=EDGE_CURVE('',#5321,#5324,#2250,.T.); +#18791=EDGE_CURVE('',#5321,#5309,#2251,.T.); +#18792=EDGE_CURVE('',#5309,#5313,#2253,.T.); +#18793=EDGE_CURVE('',#5313,#5314,#2255,.T.); +#18794=EDGE_CURVE('',#5311,#5314,#2257,.T.); +#18795=EDGE_CURVE('',#5311,#4577,#2259,.T.); +#18796=EDGE_CURVE('',#4579,#5499,#2260,.T.); +#18797=EDGE_CURVE('',#5499,#5500,#2418,.T.); +#18798=EDGE_CURVE('',#5500,#5495,#2262,.T.); +#18799=EDGE_CURVE('',#5495,#5496,#3000,.T.); +#18800=EDGE_CURVE('',#5503,#5496,#2998,.T.); +#18801=EDGE_CURVE('',#5311,#5312,#2421,.T.); +#18802=EDGE_CURVE('',#5312,#5310,#2423,.T.); +#18803=EDGE_CURVE('',#5309,#5310,#2424,.T.); +#18804=EDGE_CURVE('',#5321,#5322,#2425,.T.); +#18805=EDGE_CURVE('',#5322,#5320,#2427,.T.); +#18806=EDGE_CURVE('',#5319,#5320,#2428,.T.); +#18807=EDGE_CURVE('',#5331,#5332,#2429,.T.); +#18808=EDGE_CURVE('',#5332,#5330,#2431,.T.); +#18809=EDGE_CURVE('',#5329,#5330,#2432,.T.); +#18810=EDGE_CURVE('',#5341,#5342,#2435,.T.); +#18811=EDGE_CURVE('',#5342,#5340,#2437,.T.); +#18812=EDGE_CURVE('',#5339,#5340,#2438,.T.); +#18813=EDGE_CURVE('',#5351,#5352,#2439,.T.); +#18814=EDGE_CURVE('',#5352,#5350,#2441,.T.); +#18815=EDGE_CURVE('',#5349,#5350,#2442,.T.); +#18816=EDGE_CURVE('',#5361,#5362,#2443,.T.); +#18817=EDGE_CURVE('',#5362,#5360,#2445,.T.); +#18818=EDGE_CURVE('',#5359,#5360,#2446,.T.); +#18819=EDGE_CURVE('',#5371,#5372,#2447,.T.); +#18820=EDGE_CURVE('',#5372,#5370,#2449,.T.); +#18821=EDGE_CURVE('',#5369,#5370,#2450,.T.); +#18822=EDGE_CURVE('',#5381,#5382,#2451,.T.); +#18823=EDGE_CURVE('',#5382,#5380,#2453,.T.); +#18824=EDGE_CURVE('',#5379,#5380,#2454,.T.); +#18825=EDGE_CURVE('',#5391,#5392,#2455,.T.); +#18826=EDGE_CURVE('',#5392,#5390,#2457,.T.); +#18827=EDGE_CURVE('',#5389,#5390,#2458,.T.); +#18828=EDGE_CURVE('',#5401,#5402,#2459,.T.); +#18829=EDGE_CURVE('',#5402,#5400,#2461,.T.); +#18830=EDGE_CURVE('',#5399,#5400,#2462,.T.); +#18831=EDGE_CURVE('',#5411,#5412,#2463,.T.); +#18832=EDGE_CURVE('',#5412,#5410,#2465,.T.); +#18833=EDGE_CURVE('',#5409,#5410,#2466,.T.); +#18834=EDGE_CURVE('',#5421,#5422,#2467,.T.); +#18835=EDGE_CURVE('',#5422,#5420,#2469,.T.); +#18836=EDGE_CURVE('',#5419,#5420,#2470,.T.); +#18837=EDGE_CURVE('',#5431,#5432,#2471,.T.); +#18838=EDGE_CURVE('',#5432,#5430,#2473,.T.); +#18839=EDGE_CURVE('',#5429,#5430,#2474,.T.); +#18840=EDGE_CURVE('',#5441,#5442,#2475,.T.); +#18841=EDGE_CURVE('',#5442,#5440,#2477,.T.); +#18842=EDGE_CURVE('',#5439,#5440,#2478,.T.); +#18843=EDGE_CURVE('',#5451,#5452,#2479,.T.); +#18844=EDGE_CURVE('',#5452,#5450,#2481,.T.); +#18845=EDGE_CURVE('',#5449,#5450,#2482,.T.); +#18846=EDGE_CURVE('',#5461,#5462,#2483,.T.); +#18847=EDGE_CURVE('',#5462,#5460,#2485,.T.); +#18848=EDGE_CURVE('',#5459,#5460,#2486,.T.); +#18849=EDGE_CURVE('',#5471,#5472,#2487,.T.); +#18850=EDGE_CURVE('',#5472,#5470,#2489,.T.); +#18851=EDGE_CURVE('',#5469,#5470,#2490,.T.); +#18852=EDGE_CURVE('',#5481,#5482,#2491,.T.); +#18853=EDGE_CURVE('',#5482,#5480,#2493,.T.); +#18854=EDGE_CURVE('',#5479,#5480,#2494,.T.); +#18855=EDGE_CURVE('',#5491,#5492,#2495,.T.); +#18856=EDGE_CURVE('',#5492,#5490,#2497,.T.); +#18857=EDGE_CURVE('',#5489,#5490,#2498,.T.); +#18858=EDGE_CURVE('',#5501,#5502,#2499,.T.); +#18859=EDGE_CURVE('',#5502,#5500,#2501,.T.); +#18860=EDGE_CURVE('',#5157,#5158,#2264,.T.); +#18861=EDGE_CURVE('',#5158,#5159,#2266,.T.); +#18862=EDGE_CURVE('',#5159,#5160,#2268,.T.); +#18863=EDGE_CURVE('',#5160,#5157,#2270,.T.); +#18864=EDGE_CURVE('',#5165,#5166,#2272,.T.); +#18865=EDGE_CURVE('',#5166,#5167,#2274,.T.); +#18866=EDGE_CURVE('',#5167,#5168,#2276,.T.); +#18867=EDGE_CURVE('',#5168,#5165,#2278,.T.); +#18868=EDGE_CURVE('',#5173,#5174,#2280,.T.); +#18869=EDGE_CURVE('',#5174,#5175,#2282,.T.); +#18870=EDGE_CURVE('',#5175,#5176,#2284,.T.); +#18871=EDGE_CURVE('',#5176,#5173,#2286,.T.); +#18872=EDGE_CURVE('',#5181,#5182,#2288,.T.); +#18873=EDGE_CURVE('',#5182,#5183,#2290,.T.); +#18874=EDGE_CURVE('',#5183,#5184,#2294,.T.); +#18875=EDGE_CURVE('',#5184,#5181,#2296,.T.); +#18876=EDGE_CURVE('',#5189,#5190,#2298,.T.); +#18877=EDGE_CURVE('',#5190,#5191,#2300,.T.); +#18878=EDGE_CURVE('',#5191,#5192,#2302,.T.); +#18879=EDGE_CURVE('',#5192,#5189,#2304,.T.); +#18880=EDGE_CURVE('',#5197,#5198,#2306,.T.); +#18881=EDGE_CURVE('',#5198,#5199,#2308,.T.); +#18882=EDGE_CURVE('',#5199,#5200,#2310,.T.); +#18883=EDGE_CURVE('',#5200,#5197,#2312,.T.); +#18884=EDGE_CURVE('',#5205,#5206,#2314,.T.); +#18885=EDGE_CURVE('',#5206,#5207,#2316,.T.); +#18886=EDGE_CURVE('',#5207,#5208,#2318,.T.); +#18887=EDGE_CURVE('',#5208,#5205,#2320,.T.); +#18888=EDGE_CURVE('',#5213,#5214,#2322,.T.); +#18889=EDGE_CURVE('',#5214,#5215,#2324,.T.); +#18890=EDGE_CURVE('',#5215,#5216,#2326,.T.); +#18891=EDGE_CURVE('',#5216,#5213,#2328,.T.); +#18892=EDGE_CURVE('',#5221,#5222,#2330,.T.); +#18893=EDGE_CURVE('',#5222,#5223,#2332,.T.); +#18894=EDGE_CURVE('',#5223,#5224,#2334,.T.); +#18895=EDGE_CURVE('',#5224,#5221,#2336,.T.); +#18896=EDGE_CURVE('',#5229,#5230,#2338,.T.); +#18897=EDGE_CURVE('',#5230,#5231,#2340,.T.); +#18898=EDGE_CURVE('',#5231,#5232,#2342,.T.); +#18899=EDGE_CURVE('',#5232,#5229,#2344,.T.); +#18900=EDGE_CURVE('',#5237,#5238,#2346,.T.); +#18901=EDGE_CURVE('',#5238,#5239,#2348,.T.); +#18902=EDGE_CURVE('',#5239,#5240,#2350,.T.); +#18903=EDGE_CURVE('',#5240,#5237,#2352,.T.); +#18904=EDGE_CURVE('',#5245,#5246,#2354,.T.); +#18905=EDGE_CURVE('',#5246,#5247,#2356,.T.); +#18906=EDGE_CURVE('',#5247,#5248,#2358,.T.); +#18907=EDGE_CURVE('',#5248,#5245,#2360,.T.); +#18908=EDGE_CURVE('',#5253,#5254,#2362,.T.); +#18909=EDGE_CURVE('',#5254,#5255,#2364,.T.); +#18910=EDGE_CURVE('',#5255,#5256,#2366,.T.); +#18911=EDGE_CURVE('',#5256,#5253,#2368,.T.); +#18912=EDGE_CURVE('',#5261,#5262,#2370,.T.); +#18913=EDGE_CURVE('',#5262,#5263,#2372,.T.); +#18914=EDGE_CURVE('',#5263,#5264,#2374,.T.); +#18915=EDGE_CURVE('',#5264,#5261,#2376,.T.); +#18916=EDGE_CURVE('',#5269,#5270,#2378,.T.); +#18917=EDGE_CURVE('',#5270,#5271,#2380,.T.); +#18918=EDGE_CURVE('',#5271,#5272,#2382,.T.); +#18919=EDGE_CURVE('',#5272,#5269,#2384,.T.); +#18920=EDGE_CURVE('',#5277,#5278,#2386,.T.); +#18921=EDGE_CURVE('',#5278,#5279,#2388,.T.); +#18922=EDGE_CURVE('',#5279,#5280,#2390,.T.); +#18923=EDGE_CURVE('',#5280,#5277,#2392,.T.); +#18924=EDGE_CURVE('',#5285,#5286,#2394,.T.); +#18925=EDGE_CURVE('',#5286,#5287,#2396,.T.); +#18926=EDGE_CURVE('',#5287,#5288,#2398,.T.); +#18927=EDGE_CURVE('',#5288,#5285,#2400,.T.); +#18928=EDGE_CURVE('',#5293,#5294,#2402,.T.); +#18929=EDGE_CURVE('',#5294,#5295,#2404,.T.); +#18930=EDGE_CURVE('',#5295,#5296,#2406,.T.); +#18931=EDGE_CURVE('',#5296,#5293,#2408,.T.); +#18932=EDGE_CURVE('',#5301,#5302,#2410,.T.); +#18933=EDGE_CURVE('',#5302,#5303,#2412,.T.); +#18934=EDGE_CURVE('',#5303,#5304,#2414,.T.); +#18935=EDGE_CURVE('',#5304,#5301,#2416,.T.); +#18936=EDGE_CURVE('',#5157,#5153,#2502,.T.); +#18937=EDGE_CURVE('',#5153,#5154,#2507,.T.); +#18938=EDGE_CURVE('',#5158,#5154,#2505,.T.); +#18939=EDGE_CURVE('',#5160,#5156,#2503,.T.); +#18940=EDGE_CURVE('',#5156,#5153,#2509,.T.); +#18941=EDGE_CURVE('',#5159,#5155,#2504,.T.); +#18942=EDGE_CURVE('',#5155,#5156,#2511,.T.); +#18943=EDGE_CURVE('',#5154,#5155,#2513,.T.); +#18944=EDGE_CURVE('',#5165,#5161,#2514,.T.); +#18945=EDGE_CURVE('',#5161,#5162,#2519,.T.); +#18946=EDGE_CURVE('',#5166,#5162,#2517,.T.); +#18947=EDGE_CURVE('',#5168,#5164,#2515,.T.); +#18948=EDGE_CURVE('',#5164,#5161,#2521,.T.); +#18949=EDGE_CURVE('',#5167,#5163,#2516,.T.); +#18950=EDGE_CURVE('',#5163,#5164,#2523,.T.); +#18951=EDGE_CURVE('',#5162,#5163,#2525,.T.); +#18952=EDGE_CURVE('',#5173,#5169,#2526,.T.); +#18953=EDGE_CURVE('',#5169,#5170,#2531,.T.); +#18954=EDGE_CURVE('',#5174,#5170,#2529,.T.); +#18955=EDGE_CURVE('',#5176,#5172,#2527,.T.); +#18956=EDGE_CURVE('',#5172,#5169,#2533,.T.); +#18957=EDGE_CURVE('',#5175,#5171,#2528,.T.); +#18958=EDGE_CURVE('',#5171,#5172,#2535,.T.); +#18959=EDGE_CURVE('',#5170,#5171,#2537,.T.); +#18960=EDGE_CURVE('',#5181,#5177,#2538,.T.); +#18961=EDGE_CURVE('',#5177,#5178,#2543,.T.); +#18962=EDGE_CURVE('',#5182,#5178,#2541,.T.); +#18963=EDGE_CURVE('',#5184,#5180,#2539,.T.); +#18964=EDGE_CURVE('',#5180,#5177,#2545,.T.); +#18965=EDGE_CURVE('',#5183,#5179,#2540,.T.); +#18966=EDGE_CURVE('',#5179,#5180,#2547,.T.); +#18967=EDGE_CURVE('',#5178,#5179,#2549,.T.); +#18968=EDGE_CURVE('',#5189,#5185,#2550,.T.); +#18969=EDGE_CURVE('',#5185,#5186,#2555,.T.); +#18970=EDGE_CURVE('',#5190,#5186,#2553,.T.); +#18971=EDGE_CURVE('',#5192,#5188,#2551,.T.); +#18972=EDGE_CURVE('',#5188,#5185,#2557,.T.); +#18973=EDGE_CURVE('',#5191,#5187,#2552,.T.); +#18974=EDGE_CURVE('',#5187,#5188,#2559,.T.); +#18975=EDGE_CURVE('',#5186,#5187,#2561,.T.); +#18976=EDGE_CURVE('',#5197,#5193,#2562,.T.); +#18977=EDGE_CURVE('',#5193,#5194,#2567,.T.); +#18978=EDGE_CURVE('',#5198,#5194,#2565,.T.); +#18979=EDGE_CURVE('',#5200,#5196,#2563,.T.); +#18980=EDGE_CURVE('',#5196,#5193,#2569,.T.); +#18981=EDGE_CURVE('',#5199,#5195,#2564,.T.); +#18982=EDGE_CURVE('',#5195,#5196,#2571,.T.); +#18983=EDGE_CURVE('',#5194,#5195,#2573,.T.); +#18984=EDGE_CURVE('',#5205,#5201,#2574,.T.); +#18985=EDGE_CURVE('',#5201,#5202,#2579,.T.); +#18986=EDGE_CURVE('',#5206,#5202,#2577,.T.); +#18987=EDGE_CURVE('',#5208,#5204,#2575,.T.); +#18988=EDGE_CURVE('',#5204,#5201,#2581,.T.); +#18989=EDGE_CURVE('',#5207,#5203,#2576,.T.); +#18990=EDGE_CURVE('',#5203,#5204,#2583,.T.); +#18991=EDGE_CURVE('',#5202,#5203,#2585,.T.); +#18992=EDGE_CURVE('',#5213,#5209,#2586,.T.); +#18993=EDGE_CURVE('',#5209,#5210,#2591,.T.); +#18994=EDGE_CURVE('',#5214,#5210,#2589,.T.); +#18995=EDGE_CURVE('',#5216,#5212,#2587,.T.); +#18996=EDGE_CURVE('',#5212,#5209,#2593,.T.); +#18997=EDGE_CURVE('',#5215,#5211,#2588,.T.); +#18998=EDGE_CURVE('',#5211,#5212,#2595,.T.); +#18999=EDGE_CURVE('',#5210,#5211,#2597,.T.); +#19000=EDGE_CURVE('',#5221,#5217,#2598,.T.); +#19001=EDGE_CURVE('',#5217,#5218,#2603,.T.); +#19002=EDGE_CURVE('',#5222,#5218,#2601,.T.); +#19003=EDGE_CURVE('',#5224,#5220,#2599,.T.); +#19004=EDGE_CURVE('',#5220,#5217,#2605,.T.); +#19005=EDGE_CURVE('',#5223,#5219,#2600,.T.); +#19006=EDGE_CURVE('',#5219,#5220,#2607,.T.); +#19007=EDGE_CURVE('',#5218,#5219,#2609,.T.); +#19008=EDGE_CURVE('',#5229,#5225,#2610,.T.); +#19009=EDGE_CURVE('',#5225,#5226,#2615,.T.); +#19010=EDGE_CURVE('',#5230,#5226,#2613,.T.); +#19011=EDGE_CURVE('',#5232,#5228,#2611,.T.); +#19012=EDGE_CURVE('',#5228,#5225,#2617,.T.); +#19013=EDGE_CURVE('',#5231,#5227,#2612,.T.); +#19014=EDGE_CURVE('',#5227,#5228,#2619,.T.); +#19015=EDGE_CURVE('',#5226,#5227,#2621,.T.); +#19016=EDGE_CURVE('',#5237,#5233,#2622,.T.); +#19017=EDGE_CURVE('',#5233,#5234,#2627,.T.); +#19018=EDGE_CURVE('',#5238,#5234,#2625,.T.); +#19019=EDGE_CURVE('',#5240,#5236,#2623,.T.); +#19020=EDGE_CURVE('',#5236,#5233,#2629,.T.); +#19021=EDGE_CURVE('',#5239,#5235,#2624,.T.); +#19022=EDGE_CURVE('',#5235,#5236,#2631,.T.); +#19023=EDGE_CURVE('',#5234,#5235,#2633,.T.); +#19024=EDGE_CURVE('',#5245,#5241,#2634,.T.); +#19025=EDGE_CURVE('',#5241,#5242,#2639,.T.); +#19026=EDGE_CURVE('',#5246,#5242,#2637,.T.); +#19027=EDGE_CURVE('',#5248,#5244,#2635,.T.); +#19028=EDGE_CURVE('',#5244,#5241,#2641,.T.); +#19029=EDGE_CURVE('',#5247,#5243,#2636,.T.); +#19030=EDGE_CURVE('',#5243,#5244,#2643,.T.); +#19031=EDGE_CURVE('',#5242,#5243,#2645,.T.); +#19032=EDGE_CURVE('',#5253,#5249,#2646,.T.); +#19033=EDGE_CURVE('',#5249,#5250,#2651,.T.); +#19034=EDGE_CURVE('',#5254,#5250,#2649,.T.); +#19035=EDGE_CURVE('',#5256,#5252,#2647,.T.); +#19036=EDGE_CURVE('',#5252,#5249,#2653,.T.); +#19037=EDGE_CURVE('',#5255,#5251,#2648,.T.); +#19038=EDGE_CURVE('',#5251,#5252,#2655,.T.); +#19039=EDGE_CURVE('',#5250,#5251,#2657,.T.); +#19040=EDGE_CURVE('',#5261,#5257,#2658,.T.); +#19041=EDGE_CURVE('',#5257,#5258,#2663,.T.); +#19042=EDGE_CURVE('',#5262,#5258,#2661,.T.); +#19043=EDGE_CURVE('',#5264,#5260,#2659,.T.); +#19044=EDGE_CURVE('',#5260,#5257,#2665,.T.); +#19045=EDGE_CURVE('',#5263,#5259,#2660,.T.); +#19046=EDGE_CURVE('',#5259,#5260,#2667,.T.); +#19047=EDGE_CURVE('',#5258,#5259,#2669,.T.); +#19048=EDGE_CURVE('',#5269,#5265,#2670,.T.); +#19049=EDGE_CURVE('',#5265,#5266,#2675,.T.); +#19050=EDGE_CURVE('',#5270,#5266,#2673,.T.); +#19051=EDGE_CURVE('',#5272,#5268,#2671,.T.); +#19052=EDGE_CURVE('',#5268,#5265,#2677,.T.); +#19053=EDGE_CURVE('',#5271,#5267,#2672,.T.); +#19054=EDGE_CURVE('',#5267,#5268,#2679,.T.); +#19055=EDGE_CURVE('',#5266,#5267,#2681,.T.); +#19056=EDGE_CURVE('',#5277,#5273,#2682,.T.); +#19057=EDGE_CURVE('',#5273,#5274,#2687,.T.); +#19058=EDGE_CURVE('',#5278,#5274,#2685,.T.); +#19059=EDGE_CURVE('',#5280,#5276,#2683,.T.); +#19060=EDGE_CURVE('',#5276,#5273,#2689,.T.); +#19061=EDGE_CURVE('',#5279,#5275,#2684,.T.); +#19062=EDGE_CURVE('',#5275,#5276,#2691,.T.); +#19063=EDGE_CURVE('',#5274,#5275,#2693,.T.); +#19064=EDGE_CURVE('',#5285,#5281,#2694,.T.); +#19065=EDGE_CURVE('',#5281,#5282,#2699,.T.); +#19066=EDGE_CURVE('',#5286,#5282,#2697,.T.); +#19067=EDGE_CURVE('',#5288,#5284,#2695,.T.); +#19068=EDGE_CURVE('',#5284,#5281,#2701,.T.); +#19069=EDGE_CURVE('',#5287,#5283,#2696,.T.); +#19070=EDGE_CURVE('',#5283,#5284,#2703,.T.); +#19071=EDGE_CURVE('',#5282,#5283,#2705,.T.); +#19072=EDGE_CURVE('',#5293,#5289,#2706,.T.); +#19073=EDGE_CURVE('',#5289,#5290,#2711,.T.); +#19074=EDGE_CURVE('',#5294,#5290,#2709,.T.); +#19075=EDGE_CURVE('',#5296,#5292,#2707,.T.); +#19076=EDGE_CURVE('',#5292,#5289,#2713,.T.); +#19077=EDGE_CURVE('',#5295,#5291,#2708,.T.); +#19078=EDGE_CURVE('',#5291,#5292,#2715,.T.); +#19079=EDGE_CURVE('',#5290,#5291,#2717,.T.); +#19080=EDGE_CURVE('',#5301,#5297,#2718,.T.); +#19081=EDGE_CURVE('',#5297,#5298,#2723,.T.); +#19082=EDGE_CURVE('',#5302,#5298,#2721,.T.); +#19083=EDGE_CURVE('',#5304,#5300,#2719,.T.); +#19084=EDGE_CURVE('',#5300,#5297,#2725,.T.); +#19085=EDGE_CURVE('',#5303,#5299,#2720,.T.); +#19086=EDGE_CURVE('',#5299,#5300,#2727,.T.); +#19087=EDGE_CURVE('',#5298,#5299,#2729,.T.); +#19088=EDGE_CURVE('',#5314,#5307,#2731,.T.); +#19089=EDGE_CURVE('',#5307,#5308,#2741,.T.); +#19090=EDGE_CURVE('',#5312,#5308,#2735,.T.); +#19091=EDGE_CURVE('',#5313,#5306,#2732,.T.); +#19092=EDGE_CURVE('',#5306,#5307,#2743,.T.); +#19093=EDGE_CURVE('',#5310,#5305,#2734,.T.); +#19094=EDGE_CURVE('',#5305,#5306,#2737,.T.); +#19095=EDGE_CURVE('',#5308,#5305,#2739,.T.); +#19096=EDGE_CURVE('',#5324,#5317,#2745,.T.); +#19097=EDGE_CURVE('',#5317,#5318,#2755,.T.); +#19098=EDGE_CURVE('',#5322,#5318,#2749,.T.); +#19099=EDGE_CURVE('',#5323,#5316,#2746,.T.); +#19100=EDGE_CURVE('',#5316,#5317,#2757,.T.); +#19101=EDGE_CURVE('',#5320,#5315,#2748,.T.); +#19102=EDGE_CURVE('',#5315,#5316,#2751,.T.); +#19103=EDGE_CURVE('',#5318,#5315,#2753,.T.); +#19104=EDGE_CURVE('',#5334,#5327,#2759,.T.); +#19105=EDGE_CURVE('',#5327,#5328,#2769,.T.); +#19106=EDGE_CURVE('',#5332,#5328,#2763,.T.); +#19107=EDGE_CURVE('',#5333,#5326,#2760,.T.); +#19108=EDGE_CURVE('',#5326,#5327,#2771,.T.); +#19109=EDGE_CURVE('',#5330,#5325,#2762,.T.); +#19110=EDGE_CURVE('',#5325,#5326,#2765,.T.); +#19111=EDGE_CURVE('',#5328,#5325,#2767,.T.); +#19112=EDGE_CURVE('',#5344,#5337,#2773,.T.); +#19113=EDGE_CURVE('',#5337,#5338,#2783,.T.); +#19114=EDGE_CURVE('',#5342,#5338,#2777,.T.); +#19115=EDGE_CURVE('',#5343,#5336,#2774,.T.); +#19116=EDGE_CURVE('',#5336,#5337,#2785,.T.); +#19117=EDGE_CURVE('',#5340,#5335,#2776,.T.); +#19118=EDGE_CURVE('',#5335,#5336,#2779,.T.); +#19119=EDGE_CURVE('',#5338,#5335,#2781,.T.); +#19120=EDGE_CURVE('',#5354,#5347,#2787,.T.); +#19121=EDGE_CURVE('',#5347,#5348,#2797,.T.); +#19122=EDGE_CURVE('',#5352,#5348,#2791,.T.); +#19123=EDGE_CURVE('',#5353,#5346,#2788,.T.); +#19124=EDGE_CURVE('',#5346,#5347,#2799,.T.); +#19125=EDGE_CURVE('',#5350,#5345,#2790,.T.); +#19126=EDGE_CURVE('',#5345,#5346,#2793,.T.); +#19127=EDGE_CURVE('',#5348,#5345,#2795,.T.); +#19128=EDGE_CURVE('',#5364,#5357,#2801,.T.); +#19129=EDGE_CURVE('',#5357,#5358,#2811,.T.); +#19130=EDGE_CURVE('',#5362,#5358,#2805,.T.); +#19131=EDGE_CURVE('',#5363,#5356,#2802,.T.); +#19132=EDGE_CURVE('',#5356,#5357,#2813,.T.); +#19133=EDGE_CURVE('',#5360,#5355,#2804,.T.); +#19134=EDGE_CURVE('',#5355,#5356,#2807,.T.); +#19135=EDGE_CURVE('',#5358,#5355,#2809,.T.); +#19136=EDGE_CURVE('',#5374,#5367,#2815,.T.); +#19137=EDGE_CURVE('',#5367,#5368,#2825,.T.); +#19138=EDGE_CURVE('',#5372,#5368,#2819,.T.); +#19139=EDGE_CURVE('',#5373,#5366,#2816,.T.); +#19140=EDGE_CURVE('',#5366,#5367,#2827,.T.); +#19141=EDGE_CURVE('',#5370,#5365,#2818,.T.); +#19142=EDGE_CURVE('',#5365,#5366,#2821,.T.); +#19143=EDGE_CURVE('',#5368,#5365,#2823,.T.); +#19144=EDGE_CURVE('',#5384,#5377,#2829,.T.); +#19145=EDGE_CURVE('',#5377,#5378,#2839,.T.); +#19146=EDGE_CURVE('',#5382,#5378,#2833,.T.); +#19147=EDGE_CURVE('',#5383,#5376,#2830,.T.); +#19148=EDGE_CURVE('',#5376,#5377,#2841,.T.); +#19149=EDGE_CURVE('',#5380,#5375,#2832,.T.); +#19150=EDGE_CURVE('',#5375,#5376,#2835,.T.); +#19151=EDGE_CURVE('',#5378,#5375,#2837,.T.); +#19152=EDGE_CURVE('',#5394,#5387,#2843,.T.); +#19153=EDGE_CURVE('',#5387,#5388,#2853,.T.); +#19154=EDGE_CURVE('',#5392,#5388,#2847,.T.); +#19155=EDGE_CURVE('',#5393,#5386,#2844,.T.); +#19156=EDGE_CURVE('',#5386,#5387,#2855,.T.); +#19157=EDGE_CURVE('',#5390,#5385,#2846,.T.); +#19158=EDGE_CURVE('',#5385,#5386,#2849,.T.); +#19159=EDGE_CURVE('',#5388,#5385,#2851,.T.); +#19160=EDGE_CURVE('',#5404,#5397,#2857,.T.); +#19161=EDGE_CURVE('',#5397,#5398,#2867,.T.); +#19162=EDGE_CURVE('',#5402,#5398,#2861,.T.); +#19163=EDGE_CURVE('',#5403,#5396,#2858,.T.); +#19164=EDGE_CURVE('',#5396,#5397,#2869,.T.); +#19165=EDGE_CURVE('',#5400,#5395,#2860,.T.); +#19166=EDGE_CURVE('',#5395,#5396,#2863,.T.); +#19167=EDGE_CURVE('',#5398,#5395,#2865,.T.); +#19168=EDGE_CURVE('',#5414,#5407,#2871,.T.); +#19169=EDGE_CURVE('',#5407,#5408,#2881,.T.); +#19170=EDGE_CURVE('',#5412,#5408,#2875,.T.); +#19171=EDGE_CURVE('',#5413,#5406,#2872,.T.); +#19172=EDGE_CURVE('',#5406,#5407,#2883,.T.); +#19173=EDGE_CURVE('',#5410,#5405,#2874,.T.); +#19174=EDGE_CURVE('',#5405,#5406,#2877,.T.); +#19175=EDGE_CURVE('',#5408,#5405,#2879,.T.); +#19176=EDGE_CURVE('',#5424,#5417,#2885,.T.); +#19177=EDGE_CURVE('',#5417,#5418,#2895,.T.); +#19178=EDGE_CURVE('',#5422,#5418,#2889,.T.); +#19179=EDGE_CURVE('',#5423,#5416,#2886,.T.); +#19180=EDGE_CURVE('',#5416,#5417,#2897,.T.); +#19181=EDGE_CURVE('',#5420,#5415,#2888,.T.); +#19182=EDGE_CURVE('',#5415,#5416,#2891,.T.); +#19183=EDGE_CURVE('',#5418,#5415,#2893,.T.); +#19184=EDGE_CURVE('',#5434,#5427,#2899,.T.); +#19185=EDGE_CURVE('',#5427,#5428,#2909,.T.); +#19186=EDGE_CURVE('',#5432,#5428,#2903,.T.); +#19187=EDGE_CURVE('',#5433,#5426,#2900,.T.); +#19188=EDGE_CURVE('',#5426,#5427,#2911,.T.); +#19189=EDGE_CURVE('',#5430,#5425,#2902,.T.); +#19190=EDGE_CURVE('',#5425,#5426,#2905,.T.); +#19191=EDGE_CURVE('',#5428,#5425,#2907,.T.); +#19192=EDGE_CURVE('',#5444,#5437,#2913,.T.); +#19193=EDGE_CURVE('',#5437,#5438,#2923,.T.); +#19194=EDGE_CURVE('',#5442,#5438,#2917,.T.); +#19195=EDGE_CURVE('',#5443,#5436,#2914,.T.); +#19196=EDGE_CURVE('',#5436,#5437,#2925,.T.); +#19197=EDGE_CURVE('',#5440,#5435,#2916,.T.); +#19198=EDGE_CURVE('',#5435,#5436,#2919,.T.); +#19199=EDGE_CURVE('',#5438,#5435,#2921,.T.); +#19200=EDGE_CURVE('',#5454,#5447,#2927,.T.); +#19201=EDGE_CURVE('',#5447,#5448,#2937,.T.); +#19202=EDGE_CURVE('',#5452,#5448,#2931,.T.); +#19203=EDGE_CURVE('',#5453,#5446,#2928,.T.); +#19204=EDGE_CURVE('',#5446,#5447,#2939,.T.); +#19205=EDGE_CURVE('',#5450,#5445,#2930,.T.); +#19206=EDGE_CURVE('',#5445,#5446,#2933,.T.); +#19207=EDGE_CURVE('',#5448,#5445,#2935,.T.); +#19208=EDGE_CURVE('',#5464,#5457,#2941,.T.); +#19209=EDGE_CURVE('',#5457,#5458,#2951,.T.); +#19210=EDGE_CURVE('',#5462,#5458,#2945,.T.); +#19211=EDGE_CURVE('',#5463,#5456,#2942,.T.); +#19212=EDGE_CURVE('',#5456,#5457,#2953,.T.); +#19213=EDGE_CURVE('',#5460,#5455,#2944,.T.); +#19214=EDGE_CURVE('',#5455,#5456,#2947,.T.); +#19215=EDGE_CURVE('',#5458,#5455,#2949,.T.); +#19216=EDGE_CURVE('',#5474,#5467,#2955,.T.); +#19217=EDGE_CURVE('',#5467,#5468,#2965,.T.); +#19218=EDGE_CURVE('',#5472,#5468,#2959,.T.); +#19219=EDGE_CURVE('',#5473,#5466,#2956,.T.); +#19220=EDGE_CURVE('',#5466,#5467,#2967,.T.); +#19221=EDGE_CURVE('',#5470,#5465,#2958,.T.); +#19222=EDGE_CURVE('',#5465,#5466,#2961,.T.); +#19223=EDGE_CURVE('',#5468,#5465,#2963,.T.); +#19224=EDGE_CURVE('',#5484,#5477,#2969,.T.); +#19225=EDGE_CURVE('',#5477,#5478,#2979,.T.); +#19226=EDGE_CURVE('',#5482,#5478,#2973,.T.); +#19227=EDGE_CURVE('',#5483,#5476,#2970,.T.); +#19228=EDGE_CURVE('',#5476,#5477,#2981,.T.); +#19229=EDGE_CURVE('',#5480,#5475,#2972,.T.); +#19230=EDGE_CURVE('',#5475,#5476,#2975,.T.); +#19231=EDGE_CURVE('',#5478,#5475,#2977,.T.); +#19232=EDGE_CURVE('',#5494,#5487,#2983,.T.); +#19233=EDGE_CURVE('',#5487,#5488,#2993,.T.); +#19234=EDGE_CURVE('',#5492,#5488,#2987,.T.); +#19235=EDGE_CURVE('',#5493,#5486,#2984,.T.); +#19236=EDGE_CURVE('',#5486,#5487,#2995,.T.); +#19237=EDGE_CURVE('',#5490,#5485,#2986,.T.); +#19238=EDGE_CURVE('',#5485,#5486,#2989,.T.); +#19239=EDGE_CURVE('',#5488,#5485,#2991,.T.); +#19240=EDGE_CURVE('',#5504,#5497,#2997,.T.); +#19241=EDGE_CURVE('',#5497,#5498,#3004,.T.); +#19242=EDGE_CURVE('',#5502,#5498,#3007,.T.); +#19243=EDGE_CURVE('',#5496,#5497,#3006,.T.); +#19244=EDGE_CURVE('',#5498,#5495,#3002,.T.); +#19245=EDGE_CURVE('',#4892,#4887,#3010,.T.); +#19246=EDGE_CURVE('',#4887,#4888,#3016,.T.); +#19247=EDGE_CURVE('',#4895,#4888,#3014,.T.); +#19248=EDGE_CURVE('',#4894,#4890,#3011,.T.); +#19249=EDGE_CURVE('',#4890,#4887,#3018,.T.); +#19250=EDGE_CURVE('',#4896,#4889,#3013,.T.); +#19251=EDGE_CURVE('',#4889,#4890,#3020,.T.); +#19252=EDGE_CURVE('',#4888,#4889,#3022,.T.); +#19253=EDGE_CURVE('',#4882,#4877,#3024,.T.); +#19254=EDGE_CURVE('',#4877,#4878,#3030,.T.); +#19255=EDGE_CURVE('',#4885,#4878,#3028,.T.); +#19256=EDGE_CURVE('',#4884,#4880,#3025,.T.); +#19257=EDGE_CURVE('',#4880,#4877,#3032,.T.); +#19258=EDGE_CURVE('',#4886,#4879,#3027,.T.); +#19259=EDGE_CURVE('',#4879,#4880,#3034,.T.); +#19260=EDGE_CURVE('',#4878,#4879,#3036,.T.); +#19261=EDGE_CURVE('',#4872,#4867,#3038,.T.); +#19262=EDGE_CURVE('',#4867,#4868,#3044,.T.); +#19263=EDGE_CURVE('',#4875,#4868,#3042,.T.); +#19264=EDGE_CURVE('',#4874,#4870,#3039,.T.); +#19265=EDGE_CURVE('',#4870,#4867,#3046,.T.); +#19266=EDGE_CURVE('',#4876,#4869,#3041,.T.); +#19267=EDGE_CURVE('',#4869,#4870,#3048,.T.); +#19268=EDGE_CURVE('',#4868,#4869,#3050,.T.); +#19269=EDGE_CURVE('',#4862,#4857,#3052,.T.); +#19270=EDGE_CURVE('',#4857,#4858,#3058,.T.); +#19271=EDGE_CURVE('',#4865,#4858,#3056,.T.); +#19272=EDGE_CURVE('',#4864,#4860,#3053,.T.); +#19273=EDGE_CURVE('',#4860,#4857,#3060,.T.); +#19274=EDGE_CURVE('',#4866,#4859,#3055,.T.); +#19275=EDGE_CURVE('',#4859,#4860,#3062,.T.); +#19276=EDGE_CURVE('',#4858,#4859,#3064,.T.); +#19277=EDGE_CURVE('',#4852,#4847,#3066,.T.); +#19278=EDGE_CURVE('',#4847,#4848,#3072,.T.); +#19279=EDGE_CURVE('',#4855,#4848,#3070,.T.); +#19280=EDGE_CURVE('',#4854,#4850,#3067,.T.); +#19281=EDGE_CURVE('',#4850,#4847,#3074,.T.); +#19282=EDGE_CURVE('',#4856,#4849,#3069,.T.); +#19283=EDGE_CURVE('',#4849,#4850,#3076,.T.); +#19284=EDGE_CURVE('',#4848,#4849,#3078,.T.); +#19285=EDGE_CURVE('',#4842,#4837,#3080,.T.); +#19286=EDGE_CURVE('',#4837,#4838,#3086,.T.); +#19287=EDGE_CURVE('',#4845,#4838,#3084,.T.); +#19288=EDGE_CURVE('',#4844,#4840,#3081,.T.); +#19289=EDGE_CURVE('',#4840,#4837,#3088,.T.); +#19290=EDGE_CURVE('',#4846,#4839,#3083,.T.); +#19291=EDGE_CURVE('',#4839,#4840,#3090,.T.); +#19292=EDGE_CURVE('',#4838,#4839,#3092,.T.); +#19293=EDGE_CURVE('',#4832,#4827,#3094,.T.); +#19294=EDGE_CURVE('',#4827,#4828,#3100,.T.); +#19295=EDGE_CURVE('',#4835,#4828,#3098,.T.); +#19296=EDGE_CURVE('',#4834,#4830,#3095,.T.); +#19297=EDGE_CURVE('',#4830,#4827,#3102,.T.); +#19298=EDGE_CURVE('',#4836,#4829,#3097,.T.); +#19299=EDGE_CURVE('',#4829,#4830,#3104,.T.); +#19300=EDGE_CURVE('',#4828,#4829,#3106,.T.); +#19301=EDGE_CURVE('',#4822,#4817,#3108,.T.); +#19302=EDGE_CURVE('',#4817,#4818,#3114,.T.); +#19303=EDGE_CURVE('',#4825,#4818,#3112,.T.); +#19304=EDGE_CURVE('',#4824,#4820,#3109,.T.); +#19305=EDGE_CURVE('',#4820,#4817,#3116,.T.); +#19306=EDGE_CURVE('',#4826,#4819,#3111,.T.); +#19307=EDGE_CURVE('',#4819,#4820,#3118,.T.); +#19308=EDGE_CURVE('',#4818,#4819,#3120,.T.); +#19309=EDGE_CURVE('',#4812,#4807,#3122,.T.); +#19310=EDGE_CURVE('',#4807,#4808,#3128,.T.); +#19311=EDGE_CURVE('',#4815,#4808,#3126,.T.); +#19312=EDGE_CURVE('',#4814,#4810,#3123,.T.); +#19313=EDGE_CURVE('',#4810,#4807,#3130,.T.); +#19314=EDGE_CURVE('',#4816,#4809,#3125,.T.); +#19315=EDGE_CURVE('',#4809,#4810,#3132,.T.); +#19316=EDGE_CURVE('',#4808,#4809,#3134,.T.); +#19317=EDGE_CURVE('',#4802,#4797,#3136,.T.); +#19318=EDGE_CURVE('',#4797,#4798,#3142,.T.); +#19319=EDGE_CURVE('',#4805,#4798,#3140,.T.); +#19320=EDGE_CURVE('',#4804,#4800,#3137,.T.); +#19321=EDGE_CURVE('',#4800,#4797,#3144,.T.); +#19322=EDGE_CURVE('',#4806,#4799,#3139,.T.); +#19323=EDGE_CURVE('',#4799,#4800,#3146,.T.); +#19324=EDGE_CURVE('',#4798,#4799,#3148,.T.); +#19325=EDGE_CURVE('',#4792,#4787,#3150,.T.); +#19326=EDGE_CURVE('',#4787,#4788,#3156,.T.); +#19327=EDGE_CURVE('',#4795,#4788,#3154,.T.); +#19328=EDGE_CURVE('',#4794,#4790,#3151,.T.); +#19329=EDGE_CURVE('',#4790,#4787,#3158,.T.); +#19330=EDGE_CURVE('',#4796,#4789,#3153,.T.); +#19331=EDGE_CURVE('',#4789,#4790,#3160,.T.); +#19332=EDGE_CURVE('',#4788,#4789,#3162,.T.); +#19333=EDGE_CURVE('',#4782,#4777,#3164,.T.); +#19334=EDGE_CURVE('',#4777,#4778,#3170,.T.); +#19335=EDGE_CURVE('',#4785,#4778,#3168,.T.); +#19336=EDGE_CURVE('',#4784,#4780,#3165,.T.); +#19337=EDGE_CURVE('',#4780,#4777,#3172,.T.); +#19338=EDGE_CURVE('',#4786,#4779,#3167,.T.); +#19339=EDGE_CURVE('',#4779,#4780,#3174,.T.); +#19340=EDGE_CURVE('',#4778,#4779,#3176,.T.); +#19341=EDGE_CURVE('',#4772,#4767,#3178,.T.); +#19342=EDGE_CURVE('',#4767,#4768,#3184,.T.); +#19343=EDGE_CURVE('',#4775,#4768,#3182,.T.); +#19344=EDGE_CURVE('',#4774,#4770,#3179,.T.); +#19345=EDGE_CURVE('',#4770,#4767,#3186,.T.); +#19346=EDGE_CURVE('',#4776,#4769,#3181,.T.); +#19347=EDGE_CURVE('',#4769,#4770,#3188,.T.); +#19348=EDGE_CURVE('',#4768,#4769,#3190,.T.); +#19349=EDGE_CURVE('',#4762,#4757,#3192,.T.); +#19350=EDGE_CURVE('',#4757,#4758,#3198,.T.); +#19351=EDGE_CURVE('',#4765,#4758,#3196,.T.); +#19352=EDGE_CURVE('',#4764,#4760,#3193,.T.); +#19353=EDGE_CURVE('',#4760,#4757,#3200,.T.); +#19354=EDGE_CURVE('',#4766,#4759,#3195,.T.); +#19355=EDGE_CURVE('',#4759,#4760,#3202,.T.); +#19356=EDGE_CURVE('',#4758,#4759,#3204,.T.); +#19357=EDGE_CURVE('',#4752,#4747,#3206,.T.); +#19358=EDGE_CURVE('',#4747,#4748,#3212,.T.); +#19359=EDGE_CURVE('',#4755,#4748,#3210,.T.); +#19360=EDGE_CURVE('',#4754,#4750,#3207,.T.); +#19361=EDGE_CURVE('',#4750,#4747,#3214,.T.); +#19362=EDGE_CURVE('',#4756,#4749,#3209,.T.); +#19363=EDGE_CURVE('',#4749,#4750,#3216,.T.); +#19364=EDGE_CURVE('',#4748,#4749,#3218,.T.); +#19365=EDGE_CURVE('',#4742,#4737,#3220,.T.); +#19366=EDGE_CURVE('',#4737,#4738,#3226,.T.); +#19367=EDGE_CURVE('',#4745,#4738,#3224,.T.); +#19368=EDGE_CURVE('',#4744,#4740,#3221,.T.); +#19369=EDGE_CURVE('',#4740,#4737,#3228,.T.); +#19370=EDGE_CURVE('',#4746,#4739,#3223,.T.); +#19371=EDGE_CURVE('',#4739,#4740,#3230,.T.); +#19372=EDGE_CURVE('',#4738,#4739,#3232,.T.); +#19373=EDGE_CURVE('',#4732,#4727,#3234,.T.); +#19374=EDGE_CURVE('',#4727,#4728,#3240,.T.); +#19375=EDGE_CURVE('',#4735,#4728,#3238,.T.); +#19376=EDGE_CURVE('',#4734,#4730,#3235,.T.); +#19377=EDGE_CURVE('',#4730,#4727,#3242,.T.); +#19378=EDGE_CURVE('',#4736,#4729,#3237,.T.); +#19379=EDGE_CURVE('',#4729,#4730,#3244,.T.); +#19380=EDGE_CURVE('',#4728,#4729,#3246,.T.); +#19381=EDGE_CURVE('',#4722,#4717,#3248,.T.); +#19382=EDGE_CURVE('',#4717,#4718,#3254,.T.); +#19383=EDGE_CURVE('',#4725,#4718,#3252,.T.); +#19384=EDGE_CURVE('',#4724,#4720,#3249,.T.); +#19385=EDGE_CURVE('',#4720,#4717,#3256,.T.); +#19386=EDGE_CURVE('',#4726,#4719,#3251,.T.); +#19387=EDGE_CURVE('',#4719,#4720,#3258,.T.); +#19388=EDGE_CURVE('',#4718,#4719,#3260,.T.); +#19389=EDGE_CURVE('',#4712,#4707,#3262,.T.); +#19390=EDGE_CURVE('',#4707,#4708,#3268,.T.); +#19391=EDGE_CURVE('',#4715,#4708,#3266,.T.); +#19392=EDGE_CURVE('',#4714,#4710,#3263,.T.); +#19393=EDGE_CURVE('',#4710,#4707,#3270,.T.); +#19394=EDGE_CURVE('',#4716,#4709,#3265,.T.); +#19395=EDGE_CURVE('',#4709,#4710,#3272,.T.); +#19396=EDGE_CURVE('',#4708,#4709,#3274,.T.); +#19397=EDGE_CURVE('',#4702,#4697,#3276,.T.); +#19398=EDGE_CURVE('',#4697,#4698,#3282,.T.); +#19399=EDGE_CURVE('',#4705,#4698,#3280,.T.); +#19400=EDGE_CURVE('',#4704,#4700,#3277,.T.); +#19401=EDGE_CURVE('',#4700,#4697,#3284,.T.); +#19402=EDGE_CURVE('',#4706,#4699,#3279,.T.); +#19403=EDGE_CURVE('',#4699,#4700,#3286,.T.); +#19404=EDGE_CURVE('',#4698,#4699,#3288,.T.); +#19405=EDGE_CURVE('',#4692,#4687,#3290,.T.); +#19406=EDGE_CURVE('',#4687,#4688,#3296,.T.); +#19407=EDGE_CURVE('',#4695,#4688,#3294,.T.); +#19408=EDGE_CURVE('',#4694,#4690,#3291,.T.); +#19409=EDGE_CURVE('',#4690,#4687,#3298,.T.); +#19410=EDGE_CURVE('',#4696,#4689,#3293,.T.); +#19411=EDGE_CURVE('',#4689,#4690,#3300,.T.); +#19412=EDGE_CURVE('',#4688,#4689,#3302,.T.); +#19413=EDGE_CURVE('',#4682,#4677,#3304,.T.); +#19414=EDGE_CURVE('',#4677,#4678,#3310,.T.); +#19415=EDGE_CURVE('',#4685,#4678,#3308,.T.); +#19416=EDGE_CURVE('',#4684,#4680,#3305,.T.); +#19417=EDGE_CURVE('',#4680,#4677,#3312,.T.); +#19418=EDGE_CURVE('',#4686,#4679,#3307,.T.); +#19419=EDGE_CURVE('',#4679,#4680,#3314,.T.); +#19420=EDGE_CURVE('',#4678,#4679,#3316,.T.); +#19421=EDGE_CURVE('',#5505,#5509,#3320,.T.); +#19422=EDGE_CURVE('',#5505,#5506,#3334,.T.); +#19423=EDGE_CURVE('',#5506,#5510,#3332,.T.); +#19424=EDGE_CURVE('',#5508,#5512,#3324,.T.); +#19425=EDGE_CURVE('',#5508,#5505,#3336,.T.); +#19426=EDGE_CURVE('',#5507,#5511,#3328,.T.); +#19427=EDGE_CURVE('',#5507,#5508,#3338,.T.); +#19428=EDGE_CURVE('',#5506,#5507,#3340,.T.); +#19429=EDGE_CURVE('',#5513,#5517,#3342,.T.); +#19430=EDGE_CURVE('',#5513,#5514,#3349,.T.); +#19431=EDGE_CURVE('',#5514,#5518,#3348,.T.); +#19432=EDGE_CURVE('',#5516,#5520,#3344,.T.); +#19433=EDGE_CURVE('',#5516,#5513,#3350,.T.); +#19434=EDGE_CURVE('',#5515,#5519,#3346,.T.); +#19435=EDGE_CURVE('',#5515,#5516,#3351,.T.); +#19436=EDGE_CURVE('',#5514,#5515,#3352,.T.); +#19437=EDGE_CURVE('',#5521,#5525,#3354,.T.); +#19438=EDGE_CURVE('',#5521,#5522,#3361,.T.); +#19439=EDGE_CURVE('',#5522,#5526,#3360,.T.); +#19440=EDGE_CURVE('',#5524,#5528,#3356,.T.); +#19441=EDGE_CURVE('',#5524,#5521,#3362,.T.); +#19442=EDGE_CURVE('',#5523,#5527,#3358,.T.); +#19443=EDGE_CURVE('',#5523,#5524,#3363,.T.); +#19444=EDGE_CURVE('',#5522,#5523,#3364,.T.); +#19445=EDGE_CURVE('',#5529,#5533,#3366,.T.); +#19446=EDGE_CURVE('',#5529,#5530,#3373,.T.); +#19447=EDGE_CURVE('',#5530,#5534,#3372,.T.); +#19448=EDGE_CURVE('',#5532,#5536,#3368,.T.); +#19449=EDGE_CURVE('',#5532,#5529,#3374,.T.); +#19450=EDGE_CURVE('',#5531,#5535,#3370,.T.); +#19451=EDGE_CURVE('',#5531,#5532,#3375,.T.); +#19452=EDGE_CURVE('',#5530,#5531,#3376,.T.); +#19453=EDGE_CURVE('',#5537,#5541,#3378,.T.); +#19454=EDGE_CURVE('',#5537,#5538,#3385,.T.); +#19455=EDGE_CURVE('',#5538,#5542,#3384,.T.); +#19456=EDGE_CURVE('',#5540,#5544,#3380,.T.); +#19457=EDGE_CURVE('',#5540,#5537,#3386,.T.); +#19458=EDGE_CURVE('',#5539,#5543,#3382,.T.); +#19459=EDGE_CURVE('',#5539,#5540,#3387,.T.); +#19460=EDGE_CURVE('',#5538,#5539,#3388,.T.); +#19461=EDGE_CURVE('',#5545,#5549,#3390,.T.); +#19462=EDGE_CURVE('',#5545,#5546,#3397,.T.); +#19463=EDGE_CURVE('',#5546,#5550,#3396,.T.); +#19464=EDGE_CURVE('',#5548,#5552,#3392,.T.); +#19465=EDGE_CURVE('',#5548,#5545,#3398,.T.); +#19466=EDGE_CURVE('',#5547,#5551,#3394,.T.); +#19467=EDGE_CURVE('',#5547,#5548,#3399,.T.); +#19468=EDGE_CURVE('',#5546,#5547,#3400,.T.); +#19469=EDGE_CURVE('',#5553,#5557,#3402,.T.); +#19470=EDGE_CURVE('',#5553,#5554,#3409,.T.); +#19471=EDGE_CURVE('',#5554,#5558,#3408,.T.); +#19472=EDGE_CURVE('',#5556,#5560,#3404,.T.); +#19473=EDGE_CURVE('',#5556,#5553,#3410,.T.); +#19474=EDGE_CURVE('',#5555,#5559,#3406,.T.); +#19475=EDGE_CURVE('',#5555,#5556,#3411,.T.); +#19476=EDGE_CURVE('',#5554,#5555,#3412,.T.); +#19477=EDGE_CURVE('',#5561,#5565,#3414,.T.); +#19478=EDGE_CURVE('',#5561,#5562,#3421,.T.); +#19479=EDGE_CURVE('',#5562,#5566,#3420,.T.); +#19480=EDGE_CURVE('',#5564,#5568,#3416,.T.); +#19481=EDGE_CURVE('',#5564,#5561,#3422,.T.); +#19482=EDGE_CURVE('',#5563,#5567,#3418,.T.); +#19483=EDGE_CURVE('',#5563,#5564,#3423,.T.); +#19484=EDGE_CURVE('',#5562,#5563,#3424,.T.); +#19485=EDGE_CURVE('',#5569,#5573,#3426,.T.); +#19486=EDGE_CURVE('',#5569,#5570,#3433,.T.); +#19487=EDGE_CURVE('',#5570,#5574,#3432,.T.); +#19488=EDGE_CURVE('',#5572,#5576,#3428,.T.); +#19489=EDGE_CURVE('',#5572,#5569,#3434,.T.); +#19490=EDGE_CURVE('',#5571,#5575,#3430,.T.); +#19491=EDGE_CURVE('',#5571,#5572,#3435,.T.); +#19492=EDGE_CURVE('',#5570,#5571,#3436,.T.); +#19493=EDGE_CURVE('',#5577,#5581,#3438,.T.); +#19494=EDGE_CURVE('',#5577,#5578,#3445,.T.); +#19495=EDGE_CURVE('',#5578,#5582,#3444,.T.); +#19496=EDGE_CURVE('',#5580,#5584,#3440,.T.); +#19497=EDGE_CURVE('',#5580,#5577,#3446,.T.); +#19498=EDGE_CURVE('',#5579,#5583,#3442,.T.); +#19499=EDGE_CURVE('',#5579,#5580,#3447,.T.); +#19500=EDGE_CURVE('',#5578,#5579,#3448,.T.); +#19501=EDGE_CURVE('',#5585,#5589,#3450,.T.); +#19502=EDGE_CURVE('',#5585,#5586,#3457,.T.); +#19503=EDGE_CURVE('',#5586,#5590,#3456,.T.); +#19504=EDGE_CURVE('',#5588,#5592,#3452,.T.); +#19505=EDGE_CURVE('',#5588,#5585,#3458,.T.); +#19506=EDGE_CURVE('',#5587,#5591,#3454,.T.); +#19507=EDGE_CURVE('',#5587,#5588,#3459,.T.); +#19508=EDGE_CURVE('',#5586,#5587,#3460,.T.); +#19509=EDGE_CURVE('',#5593,#5597,#3462,.T.); +#19510=EDGE_CURVE('',#5593,#5594,#3469,.T.); +#19511=EDGE_CURVE('',#5594,#5598,#3468,.T.); +#19512=EDGE_CURVE('',#5596,#5600,#3464,.T.); +#19513=EDGE_CURVE('',#5596,#5593,#3470,.T.); +#19514=EDGE_CURVE('',#5595,#5599,#3466,.T.); +#19515=EDGE_CURVE('',#5595,#5596,#3471,.T.); +#19516=EDGE_CURVE('',#5594,#5595,#3472,.T.); +#19517=EDGE_CURVE('',#5601,#5605,#3474,.T.); +#19518=EDGE_CURVE('',#5601,#5602,#3481,.T.); +#19519=EDGE_CURVE('',#5602,#5606,#3480,.T.); +#19520=EDGE_CURVE('',#5604,#5608,#3476,.T.); +#19521=EDGE_CURVE('',#5604,#5601,#3482,.T.); +#19522=EDGE_CURVE('',#5603,#5607,#3478,.T.); +#19523=EDGE_CURVE('',#5603,#5604,#3483,.T.); +#19524=EDGE_CURVE('',#5602,#5603,#3484,.T.); +#19525=EDGE_CURVE('',#5609,#5613,#3486,.T.); +#19526=EDGE_CURVE('',#5609,#5610,#3493,.T.); +#19527=EDGE_CURVE('',#5610,#5614,#3492,.T.); +#19528=EDGE_CURVE('',#5612,#5616,#3488,.T.); +#19529=EDGE_CURVE('',#5612,#5609,#3494,.T.); +#19530=EDGE_CURVE('',#5611,#5615,#3490,.T.); +#19531=EDGE_CURVE('',#5611,#5612,#3495,.T.); +#19532=EDGE_CURVE('',#5610,#5611,#3496,.T.); +#19533=EDGE_CURVE('',#5617,#5621,#3498,.T.); +#19534=EDGE_CURVE('',#5617,#5618,#3505,.T.); +#19535=EDGE_CURVE('',#5618,#5622,#3504,.T.); +#19536=EDGE_CURVE('',#5620,#5624,#3500,.T.); +#19537=EDGE_CURVE('',#5620,#5617,#3506,.T.); +#19538=EDGE_CURVE('',#5619,#5623,#3502,.T.); +#19539=EDGE_CURVE('',#5619,#5620,#3507,.T.); +#19540=EDGE_CURVE('',#5618,#5619,#3508,.T.); +#19541=EDGE_CURVE('',#5625,#5629,#3510,.T.); +#19542=EDGE_CURVE('',#5625,#5626,#3517,.T.); +#19543=EDGE_CURVE('',#5626,#5630,#3516,.T.); +#19544=EDGE_CURVE('',#5628,#5632,#3512,.T.); +#19545=EDGE_CURVE('',#5628,#5625,#3518,.T.); +#19546=EDGE_CURVE('',#5627,#5631,#3514,.T.); +#19547=EDGE_CURVE('',#5627,#5628,#3519,.T.); +#19548=EDGE_CURVE('',#5626,#5627,#3520,.T.); +#19549=EDGE_CURVE('',#5633,#5637,#3522,.T.); +#19550=EDGE_CURVE('',#5633,#5634,#3529,.T.); +#19551=EDGE_CURVE('',#5634,#5638,#3528,.T.); +#19552=EDGE_CURVE('',#5636,#5640,#3524,.T.); +#19553=EDGE_CURVE('',#5636,#5633,#3530,.T.); +#19554=EDGE_CURVE('',#5635,#5639,#3526,.T.); +#19555=EDGE_CURVE('',#5635,#5636,#3531,.T.); +#19556=EDGE_CURVE('',#5634,#5635,#3532,.T.); +#19557=EDGE_CURVE('',#5641,#5645,#3534,.T.); +#19558=EDGE_CURVE('',#5641,#5642,#3541,.T.); +#19559=EDGE_CURVE('',#5642,#5646,#3540,.T.); +#19560=EDGE_CURVE('',#5644,#5648,#3536,.T.); +#19561=EDGE_CURVE('',#5644,#5641,#3542,.T.); +#19562=EDGE_CURVE('',#5643,#5647,#3538,.T.); +#19563=EDGE_CURVE('',#5643,#5644,#3543,.T.); +#19564=EDGE_CURVE('',#5642,#5643,#3544,.T.); +#19565=EDGE_CURVE('',#5649,#5653,#3546,.T.); +#19566=EDGE_CURVE('',#5649,#5650,#3553,.T.); +#19567=EDGE_CURVE('',#5650,#5654,#3552,.T.); +#19568=EDGE_CURVE('',#5652,#5656,#3548,.T.); +#19569=EDGE_CURVE('',#5652,#5649,#3554,.T.); +#19570=EDGE_CURVE('',#5651,#5655,#3550,.T.); +#19571=EDGE_CURVE('',#5651,#5652,#3555,.T.); +#19572=EDGE_CURVE('',#5650,#5651,#3556,.T.); +#19573=EDGE_CURVE('',#5657,#5661,#3558,.T.); +#19574=EDGE_CURVE('',#5657,#5658,#3565,.T.); +#19575=EDGE_CURVE('',#5658,#5662,#3564,.T.); +#19576=EDGE_CURVE('',#5660,#5664,#3560,.T.); +#19577=EDGE_CURVE('',#5660,#5657,#3566,.T.); +#19578=EDGE_CURVE('',#5659,#5663,#3562,.T.); +#19579=EDGE_CURVE('',#5659,#5660,#3567,.T.); +#19580=EDGE_CURVE('',#5658,#5659,#3568,.T.); +#19581=EDGE_CURVE('',#5665,#5669,#3570,.T.); +#19582=EDGE_CURVE('',#5665,#5666,#3577,.T.); +#19583=EDGE_CURVE('',#5666,#5670,#3576,.T.); +#19584=EDGE_CURVE('',#5668,#5672,#3572,.T.); +#19585=EDGE_CURVE('',#5668,#5665,#3578,.T.); +#19586=EDGE_CURVE('',#5667,#5671,#3574,.T.); +#19587=EDGE_CURVE('',#5667,#5668,#3579,.T.); +#19588=EDGE_CURVE('',#5666,#5667,#3580,.T.); +#19589=EDGE_CURVE('',#5673,#5677,#3582,.T.); +#19590=EDGE_CURVE('',#5673,#5674,#3589,.T.); +#19591=EDGE_CURVE('',#5674,#5678,#3588,.T.); +#19592=EDGE_CURVE('',#5676,#5680,#3584,.T.); +#19593=EDGE_CURVE('',#5676,#5673,#3590,.T.); +#19594=EDGE_CURVE('',#5675,#5679,#3586,.T.); +#19595=EDGE_CURVE('',#5675,#5676,#3591,.T.); +#19596=EDGE_CURVE('',#5674,#5675,#3592,.T.); +#19597=EDGE_CURVE('',#5681,#5685,#3594,.T.); +#19598=EDGE_CURVE('',#5681,#5682,#3601,.T.); +#19599=EDGE_CURVE('',#5682,#5686,#3600,.T.); +#19600=EDGE_CURVE('',#5684,#5688,#3596,.T.); +#19601=EDGE_CURVE('',#5684,#5681,#3602,.T.); +#19602=EDGE_CURVE('',#5683,#5687,#3598,.T.); +#19603=EDGE_CURVE('',#5683,#5684,#3603,.T.); +#19604=EDGE_CURVE('',#5682,#5683,#3604,.T.); +#19605=EDGE_CURVE('',#5689,#5693,#3606,.T.); +#19606=EDGE_CURVE('',#5689,#5690,#3613,.T.); +#19607=EDGE_CURVE('',#5690,#5694,#3612,.T.); +#19608=EDGE_CURVE('',#5692,#5696,#3608,.T.); +#19609=EDGE_CURVE('',#5692,#5689,#3614,.T.); +#19610=EDGE_CURVE('',#5691,#5695,#3610,.T.); +#19611=EDGE_CURVE('',#5691,#5692,#3615,.T.); +#19612=EDGE_CURVE('',#5690,#5691,#3616,.T.); +#19613=EDGE_CURVE('',#5697,#5701,#3618,.T.); +#19614=EDGE_CURVE('',#5697,#5698,#3625,.T.); +#19615=EDGE_CURVE('',#5698,#5702,#3624,.T.); +#19616=EDGE_CURVE('',#5700,#5704,#3620,.T.); +#19617=EDGE_CURVE('',#5700,#5697,#3626,.T.); +#19618=EDGE_CURVE('',#5699,#5703,#3622,.T.); +#19619=EDGE_CURVE('',#5699,#5700,#3627,.T.); +#19620=EDGE_CURVE('',#5698,#5699,#3628,.T.); +#19621=EDGE_CURVE('',#5705,#5709,#3630,.T.); +#19622=EDGE_CURVE('',#5705,#5706,#3637,.T.); +#19623=EDGE_CURVE('',#5706,#5710,#3636,.T.); +#19624=EDGE_CURVE('',#5708,#5712,#3632,.T.); +#19625=EDGE_CURVE('',#5708,#5705,#3638,.T.); +#19626=EDGE_CURVE('',#5707,#5711,#3634,.T.); +#19627=EDGE_CURVE('',#5707,#5708,#3639,.T.); +#19628=EDGE_CURVE('',#5706,#5707,#3640,.T.); +#19629=EDGE_CURVE('',#5713,#5717,#3642,.T.); +#19630=EDGE_CURVE('',#5713,#5714,#3649,.T.); +#19631=EDGE_CURVE('',#5714,#5718,#3648,.T.); +#19632=EDGE_CURVE('',#5716,#5720,#3644,.T.); +#19633=EDGE_CURVE('',#5716,#5713,#3650,.T.); +#19634=EDGE_CURVE('',#5715,#5719,#3646,.T.); +#19635=EDGE_CURVE('',#5715,#5716,#3651,.T.); +#19636=EDGE_CURVE('',#5714,#5715,#3652,.T.); +#19637=EDGE_CURVE('',#5721,#5725,#3654,.T.); +#19638=EDGE_CURVE('',#5721,#5722,#3661,.T.); +#19639=EDGE_CURVE('',#5722,#5726,#3660,.T.); +#19640=EDGE_CURVE('',#5724,#5728,#3656,.T.); +#19641=EDGE_CURVE('',#5724,#5721,#3662,.T.); +#19642=EDGE_CURVE('',#5723,#5727,#3658,.T.); +#19643=EDGE_CURVE('',#5723,#5724,#3663,.T.); +#19644=EDGE_CURVE('',#5722,#5723,#3664,.T.); +#19645=EDGE_CURVE('',#5729,#5733,#3666,.T.); +#19646=EDGE_CURVE('',#5729,#5730,#3673,.T.); +#19647=EDGE_CURVE('',#5730,#5734,#3672,.T.); +#19648=EDGE_CURVE('',#5732,#5736,#3668,.T.); +#19649=EDGE_CURVE('',#5732,#5729,#3674,.T.); +#19650=EDGE_CURVE('',#5731,#5735,#3670,.T.); +#19651=EDGE_CURVE('',#5731,#5732,#3675,.T.); +#19652=EDGE_CURVE('',#5730,#5731,#3676,.T.); +#19653=EDGE_CURVE('',#5737,#5741,#3678,.T.); +#19654=EDGE_CURVE('',#5737,#5738,#3685,.T.); +#19655=EDGE_CURVE('',#5738,#5742,#3684,.T.); +#19656=EDGE_CURVE('',#5740,#5744,#3680,.T.); +#19657=EDGE_CURVE('',#5740,#5737,#3686,.T.); +#19658=EDGE_CURVE('',#5739,#5743,#3682,.T.); +#19659=EDGE_CURVE('',#5739,#5740,#3687,.T.); +#19660=EDGE_CURVE('',#5738,#5739,#3688,.T.); +#19661=EDGE_CURVE('',#5745,#5749,#3690,.T.); +#19662=EDGE_CURVE('',#5745,#5746,#3697,.T.); +#19663=EDGE_CURVE('',#5746,#5750,#3696,.T.); +#19664=EDGE_CURVE('',#5748,#5752,#3692,.T.); +#19665=EDGE_CURVE('',#5748,#5745,#3698,.T.); +#19666=EDGE_CURVE('',#5747,#5751,#3694,.T.); +#19667=EDGE_CURVE('',#5747,#5748,#3699,.T.); +#19668=EDGE_CURVE('',#5746,#5747,#3700,.T.); +#19669=EDGE_CURVE('',#5753,#5757,#3702,.T.); +#19670=EDGE_CURVE('',#5753,#5754,#3709,.T.); +#19671=EDGE_CURVE('',#5754,#5758,#3708,.T.); +#19672=EDGE_CURVE('',#5756,#5760,#3704,.T.); +#19673=EDGE_CURVE('',#5756,#5753,#3710,.T.); +#19674=EDGE_CURVE('',#5755,#5759,#3706,.T.); +#19675=EDGE_CURVE('',#5755,#5756,#3711,.T.); +#19676=EDGE_CURVE('',#5754,#5755,#3712,.T.); +#19677=EDGE_CURVE('',#5761,#5765,#3714,.T.); +#19678=EDGE_CURVE('',#5761,#5762,#3721,.T.); +#19679=EDGE_CURVE('',#5762,#5766,#3720,.T.); +#19680=EDGE_CURVE('',#5764,#5768,#3716,.T.); +#19681=EDGE_CURVE('',#5764,#5761,#3722,.T.); +#19682=EDGE_CURVE('',#5763,#5767,#3718,.T.); +#19683=EDGE_CURVE('',#5763,#5764,#3723,.T.); +#19684=EDGE_CURVE('',#5762,#5763,#3724,.T.); +#19685=EDGE_CURVE('',#5769,#5773,#3726,.T.); +#19686=EDGE_CURVE('',#5769,#5770,#3733,.T.); +#19687=EDGE_CURVE('',#5770,#5774,#3732,.T.); +#19688=EDGE_CURVE('',#5772,#5776,#3728,.T.); +#19689=EDGE_CURVE('',#5772,#5769,#3734,.T.); +#19690=EDGE_CURVE('',#5771,#5775,#3730,.T.); +#19691=EDGE_CURVE('',#5771,#5772,#3735,.T.); +#19692=EDGE_CURVE('',#5770,#5771,#3736,.T.); +#19693=EDGE_CURVE('',#5777,#5781,#3738,.T.); +#19694=EDGE_CURVE('',#5777,#5778,#3745,.T.); +#19695=EDGE_CURVE('',#5778,#5782,#3744,.T.); +#19696=EDGE_CURVE('',#5780,#5784,#3740,.T.); +#19697=EDGE_CURVE('',#5780,#5777,#3746,.T.); +#19698=EDGE_CURVE('',#5779,#5783,#3742,.T.); +#19699=EDGE_CURVE('',#5779,#5780,#3747,.T.); +#19700=EDGE_CURVE('',#5778,#5779,#3748,.T.); +#19701=EDGE_CURVE('',#5785,#5789,#3750,.T.); +#19702=EDGE_CURVE('',#5785,#5786,#3757,.T.); +#19703=EDGE_CURVE('',#5786,#5790,#3756,.T.); +#19704=EDGE_CURVE('',#5788,#5792,#3752,.T.); +#19705=EDGE_CURVE('',#5788,#5785,#3758,.T.); +#19706=EDGE_CURVE('',#5787,#5791,#3754,.T.); +#19707=EDGE_CURVE('',#5787,#5788,#3759,.T.); +#19708=EDGE_CURVE('',#5786,#5787,#3760,.T.); +#19709=EDGE_CURVE('',#5793,#5797,#3762,.T.); +#19710=EDGE_CURVE('',#5793,#5794,#3769,.T.); +#19711=EDGE_CURVE('',#5794,#5798,#3768,.T.); +#19712=EDGE_CURVE('',#5796,#5800,#3764,.T.); +#19713=EDGE_CURVE('',#5796,#5793,#3770,.T.); +#19714=EDGE_CURVE('',#5795,#5799,#3766,.T.); +#19715=EDGE_CURVE('',#5795,#5796,#3771,.T.); +#19716=EDGE_CURVE('',#5794,#5795,#3772,.T.); +#19717=EDGE_CURVE('',#5801,#5805,#3774,.T.); +#19718=EDGE_CURVE('',#5801,#5802,#3781,.T.); +#19719=EDGE_CURVE('',#5802,#5806,#3780,.T.); +#19720=EDGE_CURVE('',#5804,#5808,#3776,.T.); +#19721=EDGE_CURVE('',#5804,#5801,#3782,.T.); +#19722=EDGE_CURVE('',#5803,#5807,#3778,.T.); +#19723=EDGE_CURVE('',#5803,#5804,#3783,.T.); +#19724=EDGE_CURVE('',#5802,#5803,#3784,.T.); +#19725=EDGE_CURVE('',#5809,#5813,#3786,.T.); +#19726=EDGE_CURVE('',#5809,#5810,#3793,.T.); +#19727=EDGE_CURVE('',#5810,#5814,#3792,.T.); +#19728=EDGE_CURVE('',#5812,#5816,#3788,.T.); +#19729=EDGE_CURVE('',#5812,#5809,#3794,.T.); +#19730=EDGE_CURVE('',#5811,#5815,#3790,.T.); +#19731=EDGE_CURVE('',#5811,#5812,#3795,.T.); +#19732=EDGE_CURVE('',#5810,#5811,#3796,.T.); +#19733=EDGE_CURVE('',#5817,#5821,#3798,.T.); +#19734=EDGE_CURVE('',#5817,#5818,#3805,.T.); +#19735=EDGE_CURVE('',#5818,#5822,#3804,.T.); +#19736=EDGE_CURVE('',#5820,#5824,#3800,.T.); +#19737=EDGE_CURVE('',#5820,#5817,#3806,.T.); +#19738=EDGE_CURVE('',#5819,#5823,#3802,.T.); +#19739=EDGE_CURVE('',#5819,#5820,#3807,.T.); +#19740=EDGE_CURVE('',#5818,#5819,#3808,.T.); +#19741=EDGE_CURVE('',#5825,#5829,#3810,.T.); +#19742=EDGE_CURVE('',#5825,#5826,#3817,.T.); +#19743=EDGE_CURVE('',#5826,#5830,#3816,.T.); +#19744=EDGE_CURVE('',#5828,#5832,#3812,.T.); +#19745=EDGE_CURVE('',#5828,#5825,#3818,.T.); +#19746=EDGE_CURVE('',#5827,#5831,#3814,.T.); +#19747=EDGE_CURVE('',#5827,#5828,#3819,.T.); +#19748=EDGE_CURVE('',#5826,#5827,#3820,.T.); +#19749=EDGE_CURVE('',#5833,#5837,#3822,.T.); +#19750=EDGE_CURVE('',#5833,#5834,#3829,.T.); +#19751=EDGE_CURVE('',#5834,#5838,#3828,.T.); +#19752=EDGE_CURVE('',#5836,#5840,#3824,.T.); +#19753=EDGE_CURVE('',#5836,#5833,#3830,.T.); +#19754=EDGE_CURVE('',#5835,#5839,#3826,.T.); +#19755=EDGE_CURVE('',#5835,#5836,#3831,.T.); +#19756=EDGE_CURVE('',#5834,#5835,#3832,.T.); +#19757=EDGE_CURVE('',#5841,#5845,#3834,.T.); +#19758=EDGE_CURVE('',#5841,#5842,#3841,.T.); +#19759=EDGE_CURVE('',#5842,#5846,#3840,.T.); +#19760=EDGE_CURVE('',#5844,#5848,#3836,.T.); +#19761=EDGE_CURVE('',#5844,#5841,#3842,.T.); +#19762=EDGE_CURVE('',#5843,#5847,#3838,.T.); +#19763=EDGE_CURVE('',#5843,#5844,#3843,.T.); +#19764=EDGE_CURVE('',#5842,#5843,#3844,.T.); +#19765=EDGE_CURVE('',#5849,#5853,#3846,.T.); +#19766=EDGE_CURVE('',#5849,#5850,#3853,.T.); +#19767=EDGE_CURVE('',#5850,#5854,#3852,.T.); +#19768=EDGE_CURVE('',#5852,#5856,#3848,.T.); +#19769=EDGE_CURVE('',#5852,#5849,#3854,.T.); +#19770=EDGE_CURVE('',#5851,#5855,#3850,.T.); +#19771=EDGE_CURVE('',#5851,#5852,#3855,.T.); +#19772=EDGE_CURVE('',#5850,#5851,#3856,.T.); +#19773=EDGE_CURVE('',#5857,#5861,#3858,.T.); +#19774=EDGE_CURVE('',#5857,#5858,#3865,.T.); +#19775=EDGE_CURVE('',#5858,#5862,#3864,.T.); +#19776=EDGE_CURVE('',#5860,#5864,#3860,.T.); +#19777=EDGE_CURVE('',#5860,#5857,#3866,.T.); +#19778=EDGE_CURVE('',#5859,#5863,#3862,.T.); +#19779=EDGE_CURVE('',#5859,#5860,#3867,.T.); +#19780=EDGE_CURVE('',#5858,#5859,#3868,.T.); +#19781=EDGE_CURVE('',#5865,#5869,#3870,.T.); +#19782=EDGE_CURVE('',#5865,#5866,#3877,.T.); +#19783=EDGE_CURVE('',#5866,#5870,#3876,.T.); +#19784=EDGE_CURVE('',#5868,#5872,#3872,.T.); +#19785=EDGE_CURVE('',#5868,#5865,#3878,.T.); +#19786=EDGE_CURVE('',#5867,#5871,#3874,.T.); +#19787=EDGE_CURVE('',#5867,#5868,#3879,.T.); +#19788=EDGE_CURVE('',#5866,#5867,#3880,.T.); +#19789=EDGE_CURVE('',#5873,#5877,#3882,.T.); +#19790=EDGE_CURVE('',#5873,#5874,#3889,.T.); +#19791=EDGE_CURVE('',#5874,#5878,#3888,.T.); +#19792=EDGE_CURVE('',#5876,#5880,#3884,.T.); +#19793=EDGE_CURVE('',#5876,#5873,#3890,.T.); +#19794=EDGE_CURVE('',#5875,#5879,#3886,.T.); +#19795=EDGE_CURVE('',#5875,#5876,#3891,.T.); +#19796=EDGE_CURVE('',#5874,#5875,#3892,.T.); +#19797=EDGE_CURVE('',#5881,#5885,#3894,.T.); +#19798=EDGE_CURVE('',#5881,#5882,#3901,.T.); +#19799=EDGE_CURVE('',#5882,#5886,#3900,.T.); +#19800=EDGE_CURVE('',#5884,#5888,#3896,.T.); +#19801=EDGE_CURVE('',#5884,#5881,#3902,.T.); +#19802=EDGE_CURVE('',#5883,#5887,#3898,.T.); +#19803=EDGE_CURVE('',#5883,#5884,#3903,.T.); +#19804=EDGE_CURVE('',#5882,#5883,#3904,.T.); +#19805=EDGE_CURVE('',#5889,#5893,#3906,.T.); +#19806=EDGE_CURVE('',#5889,#5890,#3913,.T.); +#19807=EDGE_CURVE('',#5890,#5894,#3912,.T.); +#19808=EDGE_CURVE('',#5892,#5896,#3908,.T.); +#19809=EDGE_CURVE('',#5892,#5889,#3914,.T.); +#19810=EDGE_CURVE('',#5891,#5895,#3910,.T.); +#19811=EDGE_CURVE('',#5891,#5892,#3915,.T.); +#19812=EDGE_CURVE('',#5890,#5891,#3916,.T.); +#19813=EDGE_CURVE('',#5897,#5901,#3918,.T.); +#19814=EDGE_CURVE('',#5897,#5898,#3925,.T.); +#19815=EDGE_CURVE('',#5898,#5902,#3924,.T.); +#19816=EDGE_CURVE('',#5900,#5904,#3920,.T.); +#19817=EDGE_CURVE('',#5900,#5897,#3926,.T.); +#19818=EDGE_CURVE('',#5899,#5903,#3922,.T.); +#19819=EDGE_CURVE('',#5899,#5900,#3927,.T.); +#19820=EDGE_CURVE('',#5898,#5899,#3928,.T.); +#19821=EDGE_CURVE('',#5905,#5909,#3930,.T.); +#19822=EDGE_CURVE('',#5905,#5906,#3937,.T.); +#19823=EDGE_CURVE('',#5906,#5910,#3936,.T.); +#19824=EDGE_CURVE('',#5908,#5912,#3932,.T.); +#19825=EDGE_CURVE('',#5908,#5905,#3938,.T.); +#19826=EDGE_CURVE('',#5907,#5911,#3934,.T.); +#19827=EDGE_CURVE('',#5907,#5908,#3939,.T.); +#19828=EDGE_CURVE('',#5906,#5907,#3940,.T.); +#19829=EDGE_CURVE('',#5913,#5917,#3942,.T.); +#19830=EDGE_CURVE('',#5913,#5914,#3949,.T.); +#19831=EDGE_CURVE('',#5914,#5918,#3948,.T.); +#19832=EDGE_CURVE('',#5916,#5920,#3944,.T.); +#19833=EDGE_CURVE('',#5916,#5913,#3950,.T.); +#19834=EDGE_CURVE('',#5915,#5919,#3946,.T.); +#19835=EDGE_CURVE('',#5915,#5916,#3951,.T.); +#19836=EDGE_CURVE('',#5914,#5915,#3952,.T.); +#19837=EDGE_CURVE('',#5921,#5925,#3954,.T.); +#19838=EDGE_CURVE('',#5921,#5922,#3961,.T.); +#19839=EDGE_CURVE('',#5922,#5926,#3960,.T.); +#19840=EDGE_CURVE('',#5924,#5928,#3956,.T.); +#19841=EDGE_CURVE('',#5924,#5921,#3962,.T.); +#19842=EDGE_CURVE('',#5923,#5927,#3958,.T.); +#19843=EDGE_CURVE('',#5923,#5924,#3963,.T.); +#19844=EDGE_CURVE('',#5922,#5923,#3964,.T.); +#19845=EDGE_CURVE('',#5929,#5933,#3966,.T.); +#19846=EDGE_CURVE('',#5929,#5930,#3973,.T.); +#19847=EDGE_CURVE('',#5930,#5934,#3972,.T.); +#19848=EDGE_CURVE('',#5932,#5936,#3968,.T.); +#19849=EDGE_CURVE('',#5932,#5929,#3974,.T.); +#19850=EDGE_CURVE('',#5931,#5935,#3970,.T.); +#19851=EDGE_CURVE('',#5931,#5932,#3975,.T.); +#19852=EDGE_CURVE('',#5930,#5931,#3976,.T.); +#19853=EDGE_CURVE('',#5937,#5941,#3978,.T.); +#19854=EDGE_CURVE('',#5937,#5938,#3985,.T.); +#19855=EDGE_CURVE('',#5938,#5942,#3984,.T.); +#19856=EDGE_CURVE('',#5940,#5944,#3980,.T.); +#19857=EDGE_CURVE('',#5940,#5937,#3986,.T.); +#19858=EDGE_CURVE('',#5939,#5943,#3982,.T.); +#19859=EDGE_CURVE('',#5939,#5940,#3987,.T.); +#19860=EDGE_CURVE('',#5938,#5939,#3988,.T.); +#19861=EDGE_CURVE('',#5945,#5949,#3990,.T.); +#19862=EDGE_CURVE('',#5945,#5946,#3997,.T.); +#19863=EDGE_CURVE('',#5946,#5950,#3996,.T.); +#19864=EDGE_CURVE('',#5948,#5952,#3992,.T.); +#19865=EDGE_CURVE('',#5948,#5945,#3998,.T.); +#19866=EDGE_CURVE('',#5947,#5951,#3994,.T.); +#19867=EDGE_CURVE('',#5947,#5948,#3999,.T.); +#19868=EDGE_CURVE('',#5946,#5947,#4000,.T.); +#19869=EDGE_CURVE('',#5953,#5957,#4002,.T.); +#19870=EDGE_CURVE('',#5953,#5954,#4009,.T.); +#19871=EDGE_CURVE('',#5954,#5958,#4008,.T.); +#19872=EDGE_CURVE('',#5956,#5960,#4004,.T.); +#19873=EDGE_CURVE('',#5956,#5953,#4010,.T.); +#19874=EDGE_CURVE('',#5955,#5959,#4006,.T.); +#19875=EDGE_CURVE('',#5955,#5956,#4011,.T.); +#19876=EDGE_CURVE('',#5954,#5955,#4012,.T.); +#19877=EDGE_CURVE('',#5961,#5965,#4014,.T.); +#19878=EDGE_CURVE('',#5961,#5962,#4021,.T.); +#19879=EDGE_CURVE('',#5962,#5966,#4020,.T.); +#19880=EDGE_CURVE('',#5964,#5968,#4016,.T.); +#19881=EDGE_CURVE('',#5964,#5961,#4022,.T.); +#19882=EDGE_CURVE('',#5963,#5967,#4018,.T.); +#19883=EDGE_CURVE('',#5963,#5964,#4023,.T.); +#19884=EDGE_CURVE('',#5962,#5963,#4024,.T.); +#19885=EDGE_CURVE('',#5969,#5973,#4026,.T.); +#19886=EDGE_CURVE('',#5969,#5970,#4033,.T.); +#19887=EDGE_CURVE('',#5970,#5974,#4032,.T.); +#19888=EDGE_CURVE('',#5972,#5976,#4028,.T.); +#19889=EDGE_CURVE('',#5972,#5969,#4034,.T.); +#19890=EDGE_CURVE('',#5971,#5975,#4030,.T.); +#19891=EDGE_CURVE('',#5971,#5972,#4035,.T.); +#19892=EDGE_CURVE('',#5970,#5971,#4036,.T.); +#19893=EDGE_CURVE('',#5977,#5981,#4038,.T.); +#19894=EDGE_CURVE('',#5977,#5978,#4045,.T.); +#19895=EDGE_CURVE('',#5978,#5982,#4044,.T.); +#19896=EDGE_CURVE('',#5980,#5984,#4040,.T.); +#19897=EDGE_CURVE('',#5980,#5977,#4046,.T.); +#19898=EDGE_CURVE('',#5979,#5983,#4042,.T.); +#19899=EDGE_CURVE('',#5979,#5980,#4047,.T.); +#19900=EDGE_CURVE('',#5978,#5979,#4048,.T.); +#19901=EDGE_CURVE('',#5985,#5989,#4050,.T.); +#19902=EDGE_CURVE('',#5985,#5986,#4057,.T.); +#19903=EDGE_CURVE('',#5986,#5990,#4056,.T.); +#19904=EDGE_CURVE('',#5988,#5992,#4052,.T.); +#19905=EDGE_CURVE('',#5988,#5985,#4058,.T.); +#19906=EDGE_CURVE('',#5987,#5991,#4054,.T.); +#19907=EDGE_CURVE('',#5987,#5988,#4059,.T.); +#19908=EDGE_CURVE('',#5986,#5987,#4060,.T.); +#19909=EDGE_CURVE('',#5993,#5997,#4062,.T.); +#19910=EDGE_CURVE('',#5993,#5994,#4069,.T.); +#19911=EDGE_CURVE('',#5994,#5998,#4068,.T.); +#19912=EDGE_CURVE('',#5996,#6000,#4064,.T.); +#19913=EDGE_CURVE('',#5996,#5993,#4070,.T.); +#19914=EDGE_CURVE('',#5995,#5999,#4066,.T.); +#19915=EDGE_CURVE('',#5995,#5996,#4071,.T.); +#19916=EDGE_CURVE('',#5994,#5995,#4072,.T.); +#19917=EDGE_CURVE('',#6001,#6005,#4074,.T.); +#19918=EDGE_CURVE('',#6001,#6002,#4081,.T.); +#19919=EDGE_CURVE('',#6002,#6006,#4080,.T.); +#19920=EDGE_CURVE('',#6004,#6008,#4076,.T.); +#19921=EDGE_CURVE('',#6004,#6001,#4082,.T.); +#19922=EDGE_CURVE('',#6003,#6007,#4078,.T.); +#19923=EDGE_CURVE('',#6003,#6004,#4083,.T.); +#19924=EDGE_CURVE('',#6002,#6003,#4084,.T.); +#19925=EDGE_CURVE('',#6009,#6013,#4086,.T.); +#19926=EDGE_CURVE('',#6009,#6010,#4093,.T.); +#19927=EDGE_CURVE('',#6010,#6014,#4092,.T.); +#19928=EDGE_CURVE('',#6012,#6016,#4088,.T.); +#19929=EDGE_CURVE('',#6012,#6009,#4094,.T.); +#19930=EDGE_CURVE('',#6011,#6015,#4090,.T.); +#19931=EDGE_CURVE('',#6011,#6012,#4095,.T.); +#19932=EDGE_CURVE('',#6010,#6011,#4096,.T.); +#19933=EDGE_CURVE('',#6017,#6021,#4098,.T.); +#19934=EDGE_CURVE('',#6017,#6018,#4105,.T.); +#19935=EDGE_CURVE('',#6018,#6022,#4104,.T.); +#19936=EDGE_CURVE('',#6020,#6024,#4100,.T.); +#19937=EDGE_CURVE('',#6020,#6017,#4106,.T.); +#19938=EDGE_CURVE('',#6019,#6023,#4102,.T.); +#19939=EDGE_CURVE('',#6019,#6020,#4107,.T.); +#19940=EDGE_CURVE('',#6018,#6019,#4108,.T.); +#19941=EDGE_CURVE('',#6025,#6029,#4110,.T.); +#19942=EDGE_CURVE('',#6025,#6026,#4117,.T.); +#19943=EDGE_CURVE('',#6026,#6030,#4116,.T.); +#19944=EDGE_CURVE('',#6028,#6032,#4112,.T.); +#19945=EDGE_CURVE('',#6028,#6025,#4118,.T.); +#19946=EDGE_CURVE('',#6027,#6031,#4114,.T.); +#19947=EDGE_CURVE('',#6027,#6028,#4119,.T.); +#19948=EDGE_CURVE('',#6026,#6027,#4120,.T.); +#19949=EDGE_CURVE('',#6033,#6037,#4122,.T.); +#19950=EDGE_CURVE('',#6033,#6034,#4129,.T.); +#19951=EDGE_CURVE('',#6034,#6038,#4128,.T.); +#19952=EDGE_CURVE('',#6036,#6040,#4124,.T.); +#19953=EDGE_CURVE('',#6036,#6033,#4130,.T.); +#19954=EDGE_CURVE('',#6035,#6039,#4126,.T.); +#19955=EDGE_CURVE('',#6035,#6036,#4131,.T.); +#19956=EDGE_CURVE('',#6034,#6035,#4132,.T.); +#19957=EDGE_CURVE('',#6041,#6045,#4134,.T.); +#19958=EDGE_CURVE('',#6041,#6042,#4141,.T.); +#19959=EDGE_CURVE('',#6042,#6046,#4140,.T.); +#19960=EDGE_CURVE('',#6044,#6048,#4136,.T.); +#19961=EDGE_CURVE('',#6044,#6041,#4142,.T.); +#19962=EDGE_CURVE('',#6043,#6047,#4138,.T.); +#19963=EDGE_CURVE('',#6043,#6044,#4143,.T.); +#19964=EDGE_CURVE('',#6042,#6043,#4144,.T.); +#19965=EDGE_CURVE('',#6049,#6053,#4146,.T.); +#19966=EDGE_CURVE('',#6049,#6050,#4153,.T.); +#19967=EDGE_CURVE('',#6050,#6054,#4152,.T.); +#19968=EDGE_CURVE('',#6052,#6056,#4148,.T.); +#19969=EDGE_CURVE('',#6052,#6049,#4154,.T.); +#19970=EDGE_CURVE('',#6051,#6055,#4150,.T.); +#19971=EDGE_CURVE('',#6051,#6052,#4155,.T.); +#19972=EDGE_CURVE('',#6050,#6051,#4156,.T.); +#19973=EDGE_CURVE('',#6057,#6061,#4158,.T.); +#19974=EDGE_CURVE('',#6057,#6058,#4165,.T.); +#19975=EDGE_CURVE('',#6058,#6062,#4164,.T.); +#19976=EDGE_CURVE('',#6060,#6064,#4160,.T.); +#19977=EDGE_CURVE('',#6060,#6057,#4166,.T.); +#19978=EDGE_CURVE('',#6059,#6063,#4162,.T.); +#19979=EDGE_CURVE('',#6059,#6060,#4167,.T.); +#19980=EDGE_CURVE('',#6058,#6059,#4168,.T.); +#19981=EDGE_CURVE('',#6065,#6069,#4170,.T.); +#19982=EDGE_CURVE('',#6065,#6066,#4177,.T.); +#19983=EDGE_CURVE('',#6066,#6070,#4176,.T.); +#19984=EDGE_CURVE('',#6068,#6072,#4172,.T.); +#19985=EDGE_CURVE('',#6068,#6065,#4178,.T.); +#19986=EDGE_CURVE('',#6067,#6071,#4174,.T.); +#19987=EDGE_CURVE('',#6067,#6068,#4179,.T.); +#19988=EDGE_CURVE('',#6066,#6067,#4180,.T.); +#19989=EDGE_CURVE('',#6073,#6077,#4182,.T.); +#19990=EDGE_CURVE('',#6073,#6074,#4189,.T.); +#19991=EDGE_CURVE('',#6074,#6078,#4188,.T.); +#19992=EDGE_CURVE('',#6076,#6080,#4184,.T.); +#19993=EDGE_CURVE('',#6076,#6073,#4190,.T.); +#19994=EDGE_CURVE('',#6075,#6079,#4186,.T.); +#19995=EDGE_CURVE('',#6075,#6076,#4191,.T.); +#19996=EDGE_CURVE('',#6074,#6075,#4192,.T.); +#19997=EDGE_CURVE('',#6081,#6085,#4194,.T.); +#19998=EDGE_CURVE('',#6081,#6082,#4201,.T.); +#19999=EDGE_CURVE('',#6082,#6086,#4200,.T.); +#20000=EDGE_CURVE('',#6084,#6088,#4196,.T.); +#20001=EDGE_CURVE('',#6084,#6081,#4202,.T.); +#20002=EDGE_CURVE('',#6083,#6087,#4198,.T.); +#20003=EDGE_CURVE('',#6083,#6084,#4203,.T.); +#20004=EDGE_CURVE('',#6082,#6083,#4204,.T.); +#20005=EDGE_CURVE('',#6089,#6093,#4206,.T.); +#20006=EDGE_CURVE('',#6089,#6090,#4213,.T.); +#20007=EDGE_CURVE('',#6090,#6094,#4212,.T.); +#20008=EDGE_CURVE('',#6092,#6096,#4208,.T.); +#20009=EDGE_CURVE('',#6092,#6089,#4214,.T.); +#20010=EDGE_CURVE('',#6091,#6095,#4210,.T.); +#20011=EDGE_CURVE('',#6091,#6092,#4215,.T.); +#20012=EDGE_CURVE('',#6090,#6091,#4216,.T.); +#20013=EDGE_CURVE('',#6097,#6101,#4218,.T.); +#20014=EDGE_CURVE('',#6097,#6098,#4225,.T.); +#20015=EDGE_CURVE('',#6098,#6102,#4224,.T.); +#20016=EDGE_CURVE('',#6100,#6104,#4220,.T.); +#20017=EDGE_CURVE('',#6100,#6097,#4226,.T.); +#20018=EDGE_CURVE('',#6099,#6103,#4222,.T.); +#20019=EDGE_CURVE('',#6099,#6100,#4227,.T.); +#20020=EDGE_CURVE('',#6098,#6099,#4228,.T.); +#20021=EDGE_CURVE('',#6105,#6109,#4230,.T.); +#20022=EDGE_CURVE('',#6105,#6106,#4237,.T.); +#20023=EDGE_CURVE('',#6106,#6110,#4236,.T.); +#20024=EDGE_CURVE('',#6108,#6112,#4232,.T.); +#20025=EDGE_CURVE('',#6108,#6105,#4238,.T.); +#20026=EDGE_CURVE('',#6107,#6111,#4234,.T.); +#20027=EDGE_CURVE('',#6107,#6108,#4239,.T.); +#20028=EDGE_CURVE('',#6106,#6107,#4240,.T.); +#20029=EDGE_CURVE('',#6113,#6117,#4242,.T.); +#20030=EDGE_CURVE('',#6113,#6114,#4249,.T.); +#20031=EDGE_CURVE('',#6114,#6118,#4248,.T.); +#20032=EDGE_CURVE('',#6116,#6120,#4244,.T.); +#20033=EDGE_CURVE('',#6116,#6113,#4250,.T.); +#20034=EDGE_CURVE('',#6115,#6119,#4246,.T.); +#20035=EDGE_CURVE('',#6115,#6116,#4251,.T.); +#20036=EDGE_CURVE('',#6114,#6115,#4252,.T.); +#20037=EDGE_CURVE('',#6121,#6125,#4254,.T.); +#20038=EDGE_CURVE('',#6121,#6122,#4261,.T.); +#20039=EDGE_CURVE('',#6122,#6126,#4260,.T.); +#20040=EDGE_CURVE('',#6124,#6128,#4256,.T.); +#20041=EDGE_CURVE('',#6124,#6121,#4262,.T.); +#20042=EDGE_CURVE('',#6123,#6127,#4258,.T.); +#20043=EDGE_CURVE('',#6123,#6124,#4263,.T.); +#20044=EDGE_CURVE('',#6122,#6123,#4264,.T.); +#20045=EDGE_CURVE('',#6129,#6133,#4266,.T.); +#20046=EDGE_CURVE('',#6129,#6130,#4273,.T.); +#20047=EDGE_CURVE('',#6130,#6134,#4272,.T.); +#20048=EDGE_CURVE('',#6132,#6136,#4268,.T.); +#20049=EDGE_CURVE('',#6132,#6129,#4274,.T.); +#20050=EDGE_CURVE('',#6131,#6135,#4270,.T.); +#20051=EDGE_CURVE('',#6131,#6132,#4275,.T.); +#20052=EDGE_CURVE('',#6130,#6131,#4276,.T.); +#20053=EDGE_CURVE('',#6137,#6141,#4278,.T.); +#20054=EDGE_CURVE('',#6137,#6138,#4285,.T.); +#20055=EDGE_CURVE('',#6138,#6142,#4284,.T.); +#20056=EDGE_CURVE('',#6140,#6144,#4280,.T.); +#20057=EDGE_CURVE('',#6140,#6137,#4286,.T.); +#20058=EDGE_CURVE('',#6139,#6143,#4282,.T.); +#20059=EDGE_CURVE('',#6139,#6140,#4287,.T.); +#20060=EDGE_CURVE('',#6138,#6139,#4288,.T.); +#20061=EDGE_CURVE('',#6145,#6149,#4290,.T.); +#20062=EDGE_CURVE('',#6145,#6146,#4297,.T.); +#20063=EDGE_CURVE('',#6146,#6150,#4296,.T.); +#20064=EDGE_CURVE('',#6148,#6152,#4292,.T.); +#20065=EDGE_CURVE('',#6148,#6145,#4298,.T.); +#20066=EDGE_CURVE('',#6147,#6151,#4294,.T.); +#20067=EDGE_CURVE('',#6147,#6148,#4299,.T.); +#20068=EDGE_CURVE('',#6146,#6147,#4300,.T.); +#20069=EDGE_CURVE('',#6153,#6157,#4302,.T.); +#20070=EDGE_CURVE('',#6153,#6154,#4309,.T.); +#20071=EDGE_CURVE('',#6154,#6158,#4308,.T.); +#20072=EDGE_CURVE('',#6156,#6160,#4304,.T.); +#20073=EDGE_CURVE('',#6156,#6153,#4310,.T.); +#20074=EDGE_CURVE('',#6155,#6159,#4306,.T.); +#20075=EDGE_CURVE('',#6155,#6156,#4311,.T.); +#20076=EDGE_CURVE('',#6154,#6155,#4312,.T.); +#20077=EDGE_CURVE('',#6161,#6165,#4314,.T.); +#20078=EDGE_CURVE('',#6161,#6162,#4321,.T.); +#20079=EDGE_CURVE('',#6162,#6166,#4320,.T.); +#20080=EDGE_CURVE('',#6164,#6168,#4316,.T.); +#20081=EDGE_CURVE('',#6164,#6161,#4322,.T.); +#20082=EDGE_CURVE('',#6163,#6167,#4318,.T.); +#20083=EDGE_CURVE('',#6163,#6164,#4323,.T.); +#20084=EDGE_CURVE('',#6162,#6163,#4324,.T.); +#20085=EDGE_CURVE('',#6169,#6173,#4326,.T.); +#20086=EDGE_CURVE('',#6169,#6170,#4333,.T.); +#20087=EDGE_CURVE('',#6170,#6174,#4332,.T.); +#20088=EDGE_CURVE('',#6172,#6176,#4328,.T.); +#20089=EDGE_CURVE('',#6172,#6169,#4334,.T.); +#20090=EDGE_CURVE('',#6171,#6175,#4330,.T.); +#20091=EDGE_CURVE('',#6171,#6172,#4335,.T.); +#20092=EDGE_CURVE('',#6170,#6171,#4336,.T.); +#20093=EDGE_CURVE('',#6177,#6181,#4338,.T.); +#20094=EDGE_CURVE('',#6177,#6178,#4345,.T.); +#20095=EDGE_CURVE('',#6178,#6182,#4344,.T.); +#20096=EDGE_CURVE('',#6180,#6184,#4340,.T.); +#20097=EDGE_CURVE('',#6180,#6177,#4346,.T.); +#20098=EDGE_CURVE('',#6179,#6183,#4342,.T.); +#20099=EDGE_CURVE('',#6179,#6180,#4347,.T.); +#20100=EDGE_CURVE('',#6178,#6179,#4348,.T.); +#20101=EDGE_CURVE('',#6185,#6189,#4350,.T.); +#20102=EDGE_CURVE('',#6185,#6186,#4357,.T.); +#20103=EDGE_CURVE('',#6186,#6190,#4356,.T.); +#20104=EDGE_CURVE('',#6188,#6192,#4352,.T.); +#20105=EDGE_CURVE('',#6188,#6185,#4358,.T.); +#20106=EDGE_CURVE('',#6187,#6191,#4354,.T.); +#20107=EDGE_CURVE('',#6187,#6188,#4359,.T.); +#20108=EDGE_CURVE('',#6186,#6187,#4360,.T.); +#20109=EDGE_CURVE('',#6193,#6197,#4362,.T.); +#20110=EDGE_CURVE('',#6193,#6194,#4369,.T.); +#20111=EDGE_CURVE('',#6194,#6198,#4368,.T.); +#20112=EDGE_CURVE('',#6196,#6200,#4364,.T.); +#20113=EDGE_CURVE('',#6196,#6193,#4370,.T.); +#20114=EDGE_CURVE('',#6195,#6199,#4366,.T.); +#20115=EDGE_CURVE('',#6195,#6196,#4371,.T.); +#20116=EDGE_CURVE('',#6194,#6195,#4372,.T.); +#20117=EDGE_CURVE('',#6201,#6205,#4374,.T.); +#20118=EDGE_CURVE('',#6201,#6202,#4381,.T.); +#20119=EDGE_CURVE('',#6202,#6206,#4380,.T.); +#20120=EDGE_CURVE('',#6204,#6208,#4376,.T.); +#20121=EDGE_CURVE('',#6204,#6201,#4382,.T.); +#20122=EDGE_CURVE('',#6203,#6207,#4378,.T.); +#20123=EDGE_CURVE('',#6203,#6204,#4383,.T.); +#20124=EDGE_CURVE('',#6202,#6203,#4384,.T.); +#20125=EDGE_CURVE('',#6209,#6213,#4386,.T.); +#20126=EDGE_CURVE('',#6209,#6210,#4393,.T.); +#20127=EDGE_CURVE('',#6210,#6214,#4392,.T.); +#20128=EDGE_CURVE('',#6212,#6216,#4388,.T.); +#20129=EDGE_CURVE('',#6212,#6209,#4394,.T.); +#20130=EDGE_CURVE('',#6211,#6215,#4390,.T.); +#20131=EDGE_CURVE('',#6211,#6212,#4395,.T.); +#20132=EDGE_CURVE('',#6210,#6211,#4396,.T.); +#20133=EDGE_CURVE('',#6217,#6221,#4398,.T.); +#20134=EDGE_CURVE('',#6217,#6218,#4405,.T.); +#20135=EDGE_CURVE('',#6218,#6222,#4404,.T.); +#20136=EDGE_CURVE('',#6220,#6224,#4400,.T.); +#20137=EDGE_CURVE('',#6220,#6217,#4406,.T.); +#20138=EDGE_CURVE('',#6219,#6223,#4402,.T.); +#20139=EDGE_CURVE('',#6219,#6220,#4407,.T.); +#20140=EDGE_CURVE('',#6218,#6219,#4408,.T.); +#20141=EDGE_CURVE('',#6225,#6229,#4410,.T.); +#20142=EDGE_CURVE('',#6225,#6226,#4417,.T.); +#20143=EDGE_CURVE('',#6226,#6230,#4416,.T.); +#20144=EDGE_CURVE('',#6228,#6232,#4412,.T.); +#20145=EDGE_CURVE('',#6228,#6225,#4418,.T.); +#20146=EDGE_CURVE('',#6227,#6231,#4414,.T.); +#20147=EDGE_CURVE('',#6227,#6228,#4419,.T.); +#20148=EDGE_CURVE('',#6226,#6227,#4420,.T.); +#20149=EDGE_CURVE('',#6233,#6237,#4422,.T.); +#20150=EDGE_CURVE('',#6233,#6234,#4429,.T.); +#20151=EDGE_CURVE('',#6234,#6238,#4428,.T.); +#20152=EDGE_CURVE('',#6236,#6240,#4424,.T.); +#20153=EDGE_CURVE('',#6236,#6233,#4430,.T.); +#20154=EDGE_CURVE('',#6235,#6239,#4426,.T.); +#20155=EDGE_CURVE('',#6235,#6236,#4431,.T.); +#20156=EDGE_CURVE('',#6234,#6235,#4432,.T.); +#20157=EDGE_CURVE('',#6241,#6245,#4434,.T.); +#20158=EDGE_CURVE('',#6241,#6242,#4441,.T.); +#20159=EDGE_CURVE('',#6242,#6246,#4440,.T.); +#20160=EDGE_CURVE('',#6244,#6248,#4436,.T.); +#20161=EDGE_CURVE('',#6244,#6241,#4442,.T.); +#20162=EDGE_CURVE('',#6243,#6247,#4438,.T.); +#20163=EDGE_CURVE('',#6243,#6244,#4443,.T.); +#20164=EDGE_CURVE('',#6242,#6243,#4444,.T.); +#20165=EDGE_CURVE('',#6249,#6253,#4446,.T.); +#20166=EDGE_CURVE('',#6249,#6250,#4453,.T.); +#20167=EDGE_CURVE('',#6250,#6254,#4452,.T.); +#20168=EDGE_CURVE('',#6252,#6256,#4448,.T.); +#20169=EDGE_CURVE('',#6252,#6249,#4454,.T.); +#20170=EDGE_CURVE('',#6251,#6255,#4450,.T.); +#20171=EDGE_CURVE('',#6251,#6252,#4455,.T.); +#20172=EDGE_CURVE('',#6250,#6251,#4456,.T.); +#20173=EDGE_CURVE('',#6257,#6261,#4458,.T.); +#20174=EDGE_CURVE('',#6257,#6258,#4465,.T.); +#20175=EDGE_CURVE('',#6258,#6262,#4464,.T.); +#20176=EDGE_CURVE('',#6260,#6264,#4460,.T.); +#20177=EDGE_CURVE('',#6260,#6257,#4466,.T.); +#20178=EDGE_CURVE('',#6259,#6263,#4462,.T.); +#20179=EDGE_CURVE('',#6259,#6260,#4467,.T.); +#20180=EDGE_CURVE('',#6258,#6259,#4468,.T.); +#20181=EDGE_CURVE('',#6265,#6269,#4470,.T.); +#20182=EDGE_CURVE('',#6265,#6266,#4477,.T.); +#20183=EDGE_CURVE('',#6266,#6270,#4476,.T.); +#20184=EDGE_CURVE('',#6268,#6272,#4472,.T.); +#20185=EDGE_CURVE('',#6268,#6265,#4478,.T.); +#20186=EDGE_CURVE('',#6267,#6271,#4474,.T.); +#20187=EDGE_CURVE('',#6267,#6268,#4479,.T.); +#20188=EDGE_CURVE('',#6266,#6267,#4480,.T.); +#20189=EDGE_CURVE('',#6273,#6277,#4482,.T.); +#20190=EDGE_CURVE('',#6273,#6274,#4489,.T.); +#20191=EDGE_CURVE('',#6274,#6278,#4488,.T.); +#20192=EDGE_CURVE('',#6276,#6280,#4484,.T.); +#20193=EDGE_CURVE('',#6276,#6273,#4490,.T.); +#20194=EDGE_CURVE('',#6275,#6279,#4486,.T.); +#20195=EDGE_CURVE('',#6275,#6276,#4491,.T.); +#20196=EDGE_CURVE('',#6274,#6275,#4492,.T.); +#20197=EDGE_CURVE('',#6281,#6285,#4494,.T.); +#20198=EDGE_CURVE('',#6281,#6282,#4501,.T.); +#20199=EDGE_CURVE('',#6282,#6286,#4500,.T.); +#20200=EDGE_CURVE('',#6284,#6288,#4496,.T.); +#20201=EDGE_CURVE('',#6284,#6281,#4502,.T.); +#20202=EDGE_CURVE('',#6283,#6287,#4498,.T.); +#20203=EDGE_CURVE('',#6283,#6284,#4503,.T.); +#20204=EDGE_CURVE('',#6282,#6283,#4504,.T.); +#20205=EDGE_CURVE('',#6289,#6293,#4506,.T.); +#20206=EDGE_CURVE('',#6289,#6290,#4513,.T.); +#20207=EDGE_CURVE('',#6290,#6294,#4512,.T.); +#20208=EDGE_CURVE('',#6292,#6296,#4508,.T.); +#20209=EDGE_CURVE('',#6292,#6289,#4514,.T.); +#20210=EDGE_CURVE('',#6291,#6295,#4510,.T.); +#20211=EDGE_CURVE('',#6291,#6292,#4515,.T.); +#20212=EDGE_CURVE('',#6290,#6291,#4516,.T.); +#20213=EDGE_CURVE('',#6297,#6301,#4518,.T.); +#20214=EDGE_CURVE('',#6297,#6298,#4525,.T.); +#20215=EDGE_CURVE('',#6298,#6302,#4524,.T.); +#20216=EDGE_CURVE('',#6300,#6304,#4520,.T.); +#20217=EDGE_CURVE('',#6300,#6297,#4526,.T.); +#20218=EDGE_CURVE('',#6299,#6303,#4522,.T.); +#20219=EDGE_CURVE('',#6299,#6300,#4527,.T.); +#20220=EDGE_CURVE('',#6298,#6299,#4528,.T.); +#20221=EDGE_CURVE('',#6305,#6309,#4530,.T.); +#20222=EDGE_CURVE('',#6305,#6306,#4537,.T.); +#20223=EDGE_CURVE('',#6306,#6310,#4536,.T.); +#20224=EDGE_CURVE('',#6308,#6312,#4532,.T.); +#20225=EDGE_CURVE('',#6308,#6305,#4538,.T.); +#20226=EDGE_CURVE('',#6307,#6311,#4534,.T.); +#20227=EDGE_CURVE('',#6307,#6308,#4539,.T.); +#20228=EDGE_CURVE('',#6306,#6307,#4540,.T.); +#20229=EDGE_CURVE('',#6313,#6317,#4542,.T.); +#20230=EDGE_CURVE('',#6313,#6314,#4549,.T.); +#20231=EDGE_CURVE('',#6314,#6318,#4548,.T.); +#20232=EDGE_CURVE('',#6316,#6320,#4544,.T.); +#20233=EDGE_CURVE('',#6316,#6313,#4550,.T.); +#20234=EDGE_CURVE('',#6315,#6319,#4546,.T.); +#20235=EDGE_CURVE('',#6315,#6316,#4551,.T.); +#20236=EDGE_CURVE('',#6314,#6315,#4552,.T.); +#20237=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#17535),#17543); +#20238=PRODUCT_DEFINITION('design','',#17549,#17546); +#20239=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR C-1375795-01-3.',#20238); +#20240=SHAPE_REPRESENTATION('',(#17535),#17543); +#20241=SHAPE_ASPECT('','solid data associated with C-1375795-01-3',#20239,.F.); +#20242=PROPERTY_DEFINITION('','shape for solid data with which properties are associated',#20241); +#20243=SHAPE_DEFINITION_REPRESENTATION(#20242,#20240); +#20244=PROPERTY_DEFINITION('geometric_validation_property','area of C-1375795-01-3',#20241); +#20245=REPRESENTATION('surface area',(#17552),#17543); +#20246=PROPERTY_DEFINITION_REPRESENTATION(#20244,#20245); +#20247=PROPERTY_DEFINITION('geometric_validation_property','volume of C-1375795-01-3',#20241); +#20248=REPRESENTATION('volume',(#17555),#17543); +#20249=PROPERTY_DEFINITION_REPRESENTATION(#20247,#20248); +#20250=PROPERTY_DEFINITION('geometric_validation_property','centroid of C-1375795-01-3',#20241); +#20251=REPRESENTATION('centroid',(#17556),#17543); +#20252=PROPERTY_DEFINITION_REPRESENTATION(#20250,#20251); +#20253=SHAPE_DEFINITION_REPRESENTATION(#20239,#20237); +ENDSEC; +END-ISO-10303-21; + diff --git a/sym-lib-table b/sym-lib-table new file mode 100644 index 0000000..8c2feba --- /dev/null +++ b/sym-lib-table @@ -0,0 +1,3 @@ +(sym_lib_table + (lib (name "local")(type "KiCad")(uri "${KIPRJMOD}/local.kicad_sym")(options "")(descr "")) +)