From b35578b1177f4cd65b71f16f46241744c950b4fd Mon Sep 17 00:00:00 2001 From: Kevin Redon Date: Thu, 23 Jun 2011 13:22:37 +0200 Subject: [PATCH] BOM updated --- hardware/kicad/SIMtrace.brd | 22 +- hardware/kicad/SIMtrace.net | 1489 ++++++++++++++++++++------------- hardware/kicad/SIMtrace.sch | 14 +- hardware/pcb/bom/BOM.ods | Bin 23537 -> 20578 bytes hardware/pcb/bom/SIMtrace.csv | 59 +- 5 files changed, 946 insertions(+), 638 deletions(-) diff --git a/hardware/kicad/SIMtrace.brd b/hardware/kicad/SIMtrace.brd index 21e4f35..06fea39 100644 --- a/hardware/kicad/SIMtrace.brd +++ b/hardware/kicad/SIMtrace.brd @@ -1,4 +1,4 @@ -PCBNEW-BOARD Version 1 date Do 23 Jun 2011 00:18:04 CEST +PCBNEW-BOARD Version 1 date Do 23 Jun 2011 12:56:19 CEST # Created by Pcbnew(2011-06-08)-testing @@ -21,7 +21,7 @@ $EndGENERAL $SHEETDESCR Sheet A4 11700 8267 Title "SIMtrace" -Date "22 jun 2011" +Date "23 jun 2011" Rev "1.0" Comp "osmocom" Comment1 "CC-BY-SA" @@ -379,7 +379,7 @@ uViaDia 200 uViaDrill 50 $EndNCLASS $MODULE FFC_REBELSIM -Po 16750 42050 2700 15 4DFFC101 4DCD07C6 ~~ +Po 16750 42050 2700 15 4E031BCF 4DCD07C6 ~~ Li FFC_REBELSIM Sc 4DCD07C6 AR /4DC51F05 @@ -451,7 +451,7 @@ Po 1378 630 $EndPAD $EndMODULE FFC_REBELSIM $MODULE JACK_2.5 -Po 45150 40100 1800 15 4DFFBE9D 4DCD07C7 ~~ +Po 45150 40100 1800 15 4E031BC4 4DCD07C7 ~~ Li JACK_2.5 Sc 4DCD07C7 AR /4DCBE233 @@ -906,7 +906,7 @@ AR /4DC5A30D Op 0 0 0 At SMD T0 14 528 280 180 2700 45 N V 21 N "R18" -T1 0 0 280 180 2700 45 N I 21 N "10k" +T1 0 0 280 180 2700 45 N I 21 N "10K" DS -450 -250 450 -250 50 21 DS 450 -250 450 250 50 21 DS 450 250 -450 250 50 21 @@ -940,7 +940,7 @@ AR /4DC799F2 Op 0 0 0 At SMD T0 863 50 280 180 0 45 N V 21 N "R17" -T1 0 0 280 180 0 45 N I 21 N "10k" +T1 0 0 280 180 0 45 N I 21 N "10K" DS -450 -250 450 -250 50 21 DS 450 -250 450 250 50 21 DS 450 250 -450 250 50 21 @@ -1076,7 +1076,7 @@ AR /4D0206C7 Op 0 0 0 At SMD T0 -42 583 280 180 0 45 N V 21 N "R12" -T1 0 0 280 180 0 45 N I 21 N "100k" +T1 0 0 280 180 0 45 N I 21 N "100K" DS -450 -250 450 -250 50 21 DS 450 -250 450 250 50 21 DS 450 250 -450 250 50 21 @@ -1110,7 +1110,7 @@ AR /4D020DC6 Op 0 0 0 At SMD T0 -850 50 280 180 0 45 N V 21 N "R11" -T1 0 0 280 180 0 45 N I 21 N "1k5" +T1 0 0 280 180 0 45 N I 21 N "1K5" DS -450 -250 450 -250 50 21 DS 450 -250 450 250 50 21 DS 450 250 -450 250 50 21 @@ -3092,7 +3092,7 @@ Po 1339 787 $EndPAD $EndMODULE PUSH_BUTTON $MODULE USB-MINI-B_UX60 -Po 45650 50000 900 15 4DFFA24E 4DD21AF7 ~~ +Po 45650 50000 900 15 4E031BC9 4DD21AF7 ~~ Li USB-MINI-B_UX60 Cd Hirose UX60-MB-5ST Sc 4DD21AF7 @@ -3531,7 +3531,7 @@ AR /4DFF9902 Op 0 0 0 At SMD T0 0 550 280 180 1800 45 N V 21 N "R22" -T1 0 0 280 180 1800 45 N I 21 N "100k" +T1 0 0 280 180 1800 45 N I 21 N "100K" DS -450 -250 450 -250 50 21 DS 450 -250 450 250 50 21 DS 450 250 -450 250 50 21 @@ -3750,7 +3750,7 @@ Sc 4DCD082E AR /4DFF8B97 Op 0 0 0 T0 50 0 299 299 0 59 N V 21 N "IC2" -T1 -50 -1050 299 299 0 59 N V 21 N "LDO" +T1 -50 -1050 299 299 0 59 N V 21 N "AP7332" DC -433 98 -413 117 100 21 DS -591 -315 591 -315 100 21 DS 591 -315 591 315 100 21 diff --git a/hardware/kicad/SIMtrace.net b/hardware/kicad/SIMtrace.net index 1212ecc..2d161de 100644 --- a/hardware/kicad/SIMtrace.net +++ b/hardware/kicad/SIMtrace.net @@ -1,521 +1,435 @@ -# EESchema Netlist Version 1.1 created Mo 20 Jun 2011 21:35:01 CEST +# EESchema Netlist Version 1.1 created Do 23 Jun 2011 12:55:43 CEST ( - ( /4DC804A6 PIN_ARRAY_2X1 BT1 4.5-6V - ( 1 N-000019 ) - ( 2 GND ) - ) - ( /4DC8F975 SM0603 C1 2.2uF - ( 1 3V3 ) - ( 2 GND ) - ) - ( /4D037E30 SM0603 C3 100nF - ( 1 3V3 ) - ( 2 GND ) - ) - ( /4DC66392 SM0603 C4 100nF - ( 1 3V3 ) - ( 2 GND ) - ) - ( /4DC79EDF SM0603 C5 33pF - ( 1 N-000059 ) - ( 2 N-000024 ) - ) - ( /4D020DD3 SM0603 C6 10nF - ( 1 GND ) - ( 2 N-000042 ) - ) - ( /4D020BDE SM0603 C7 100nF - ( 1 3V3 ) - ( 2 GND ) - ) - ( /4D020BEB SM0603 C9 100nF - ( 1 3V3 ) - ( 2 GND ) - ) - ( /4D020DCD SM0603 C10 1nF - ( 1 GND ) - ( 2 N-000032 ) - ) - ( /4DC79ED8 SM0603 C11 15pF - ( 1 /DDM ) - ( 2 GND ) - ) - ( /4DC8F97A SM0603 C12 2.2uF - ( 1 +1.8V ) - ( 2 GND ) - ) - ( /4DC79EDC SM0603 C13 15pF - ( 1 /DDP ) - ( 2 GND ) - ) - ( /4DC6A5DE SM0603 C14 1uF - ( 1 N-000063 ) - ( 2 GND ) - ) - ( /4D020ED8 SM0603 C15 100nF - ( 1 +1.8V ) - ( 2 GND ) - ) - ( /4DC664DD SM0603 C16 100nF - ( 1 +1.8V ) - ( 2 GND ) - ) - ( /4CE9162F SM0603 C17 10pF - ( 1 N-000039 ) - ( 2 GND ) - ) - ( /4CE91633 SM0603 C18 10pF - ( 1 N-000035 ) - ( 2 GND ) - ) - ( /4DC664E0 SM0603 C19 100nF - ( 1 +1.8V ) - ( 2 GND ) - ) - ( /4D020EDF SM0603 C20 100nF - ( 1 +1.8V ) - ( 2 GND ) - ) - ( /4DC6A5CB SM0603 C21 1uF - ( 1 /VCC_SIM ) - ( 2 GND ) - ) - ( /4DC6A5D9 SM0603 C22 1uF - ( 1 3V3 ) - ( 2 GND ) - ) - ( /4DC68E45 SM0603 C23 100nF - ( 1 N-000065 ) - ( 2 GND ) - ) - ( /4DFF9964 SM0603 C24 100nF - ( 1 /VCC_SIM ) - ( 2 GND ) - ) - ( /4DC7A27C SOD123 D1 Schottky - ( 1 /UDP_PUP ) - ( 2 //RESET ) - ) - ( /4DCB8C13 SOD123 D2 1N5819 - ( 1 /USBVCC ) - ( 2 N-000063 ) - ) - ( /4DCB8C0D SOD123 D3 1N5819 - ( 1 N-000019 ) - ( 2 N-000063 ) - ) - ( /4CF54717 LED-0603 D4 RED - ( 1 N-000045 ) - ( 2 /LED_R ) - ) - ( /4CF5471F LED-0603 D5 GREEN - ( 1 N-000043 ) - ( 2 /LED_G ) - ) - ( /4DC79E48 SM0805 FB1 FILTER - ( 1 N-000060 ) - ( 2 /USBVCC ) - ) - ( /4DC68E1D SM0805 FB2 FILTER - ( 1 N-000065 ) - ( 2 3V3 ) - ) - ( /4DFF8B97 SOT26 IC2 AP7332 - ( 1 /VCC_SIM ) - ( 2 GND ) - ( 3 /SIM_PWEN ) - ( 4 N-000063 ) - ( 5 N-000063 ) - ( 6 3V3 ) - ) - ( /4CE84486 TQFP_64 IC3 AT91SAM7S - ( 1 N-000065 ) - ( 2 GND ) - ( 3 GND ) - ( 4 GND ) - ( 5 GND ) - ( 6 N-000068 ) - ( 7 3V3 ) - ( 8 +1.8V ) - ( 9 /LED_R ) - ( 10 /LED_G ) - ( 11 /I/O_PHONE ) - ( 12 +1.8V ) - ( 13 /I/O_SW ) - ( 14 /I/O_PHONE ) - ( 15 /CLK_PHONE ) - ( 16 /SC_SW ) - ( 17 GND ) - ( 18 3V3 ) - ( 19 N-000027 ) - ( 20 /WP ) - ( 21 /SCK ) - ( 22 /MOSI ) - ( 23 /RST_PHONE ) - ( 24 +1.8V ) - ( 25 /VCC_PHONE ) - ( 26 /VCC_FWD ) - ( 27 /MISO ) - ( 28 /CS ) - ( 29 /DTXD ) - ( 30 /DRXD ) - ( 31 /SW_SIM ) - ( 32 /RST_SIM ) - ( 33 /TDI ) - ( 34 /I/O_SIM ) - ( 35 /SIM_PWEN ) - ( 36 /CLK_SIM ) - ( 37 ? ) - ( 38 /CLK_PHONE ) - ( 39 //RESET ) - ( 40 /TEST ) - ( 41 /A-B-DETECT ) - ( 42 ? ) - ( 43 ? ) - ( 44 /CLK_SIM ) - ( 45 3V3 ) - ( 46 GND ) - ( 47 /I/O_SIM ) - ( 48 ? ) - ( 49 /TDO ) - ( 50 ? ) - ( 51 /TMS ) - ( 52 /BOOTLOADER ) - ( 53 /TCK ) - ( 54 +1.8V ) - ( 55 N-000033 ) - ( 56 /DDM ) - ( 57 /DDP ) - ( 58 3V3 ) - ( 59 3V3 ) - ( 60 GND ) - ( 61 N-000039 ) - ( 62 N-000035 ) - ( 63 N-000032 ) - ( 64 +1.8V ) - ) - ( /4DC6A187 SSOP20_BDQ IC4 CB3Q3244 - ( 1 /SC_SW ) - ( 4 /RST_PHONE ) - ( 6 /CLK_PHONE ) - ( 9 /I/O_SIM ) - ( 10 GND ) - ( 11 /I/O_PHONE ) - ( 14 /CLK_SIM ) - ( 16 /RST_SIM ) - ( 19 /I/O_SW ) - ( 20 3V3 ) - ) - ( /4DFF9774 SOT353 IC5 FPF2005 - ( 1 /VCC_SIM ) - ( 2 GND ) - ( 3 N-000062 ) - ( 4 /VCC_FWD ) - ( 5 /VCC_PHONE ) - ) - ( /4CFAC6EA USB-MINI-B_UX60 J1 USB-B_MINI - ( 1 N-000060 ) - ( 2 N-000059 ) - ( 3 N-000024 ) - ( 4 /A-B-DETECT ) - ( 5 GND ) - ) - ( /4DCBE233 JACK_2.5 J2 JACK_2.5 - ( 1 GND ) - ( 2 /DRXD ) - ( 3 /DTXD ) - ) - ( /4DC68259 PIN_ARRAY_2X1 JP1 TEST - ( 1 /TEST ) - ( 2 3V3 ) - ) - ( /4DC683ED PIN_ARRAY_2X1 JP2 ERASE - ( 1 3V3 ) - ( 2 N-000033 ) - ) - ( /4CFCD6C9 pin_array_10x2 P1 JTAG - ( 1 3V3 ) - ( 2 3V3 ) - ( 3 N-000041 ) - ( 4 GND ) - ( 5 /TDI ) - ( 6 GND ) - ( 7 /TMS ) - ( 8 GND ) - ( 9 /TCK ) - ( 10 GND ) - ( 11 /TCK ) - ( 12 GND ) - ( 13 /TDO ) - ( 14 GND ) - ( 15 //RESET ) - ( 16 GND ) - ( 17 ? ) - ( 18 GND ) - ( 19 ? ) - ( 20 GND ) - ) - ( /4CE9ADCF PIN_ARRAY-6X1 P2 DEBUG - ( 1 GND ) - ( 2 N-000036 ) - ( 3 3V3 ) - ( 4 /DRXD ) - ( 5 /DTXD ) - ( 6 N-000036 ) - ) - ( /4DC51F05 FFC_REBELSIM P3 REBELSIM - ( 1 /VCC_PHONE ) - ( 2 /RST_PHONE ) - ( 3 /CLK_PHONE ) - ( 4 /I/O_PHONE ) - ( 5 ? ) - ( 6 GND ) - ) - ( /4DC8FA06 SIM_AMPHENOL P4 SIM - ( C1 /VCC_SIM ) - ( C2 /RST_SIM ) - ( C3 /CLK_SIM ) - ( C4 ? ) - ( C5 GND ) - ( C6 ? ) - ( C7 /I/O_SIM ) - ( C8 ? ) - ( SW1 /SW_SIM ) - ( SW2 GND ) - ) - ( /4DC7A1CA SOT23_BC847 Q1 BC847 - ( 1 /UDP_PUP ) - ( 2 N-000025 ) - ( 3 3V3 ) - ) - ( /4DC7A43A SOT23_BC847 Q2 BC847 - ( 1 N-000015 ) - ( 2 GND ) - ( 3 /UDP_PUP ) - ) - ( /4DC79ED1 SM0603 R1 100K - ( 1 3V3 ) - ( 2 /UDP_PUP ) - ) - ( /4DC79E9A SM0603 R2 10K - ( 1 /A-B-DETECT ) - ( 2 3V3 ) - ) - ( /4DC79ECE SM0603 R3 1K5 - ( 1 N-000024 ) - ( 2 N-000025 ) - ) - ( /4CE9A68C SM0603 R4 100K - ( 1 N-000041 ) - ( 2 3V3 ) - ) - ( /4CE9A69A SM0603 R5 100K - ( 1 /TDI ) - ( 2 3V3 ) - ) - ( /4CE9A6A5 SM0603 R6 100K - ( 1 /TMS ) - ( 2 3V3 ) - ) - ( /4CE9A6A9 SM0603 R7 100K - ( 1 /TCK ) - ( 2 3V3 ) - ) - ( /4CE9A6AE SM0603 R8 100K - ( 1 /TDO ) - ( 2 3V3 ) - ) - ( /4DC79EAD SM0603 R9 27R - ( 1 /DDM ) - ( 2 N-000059 ) - ) - ( /4DC79EA7 SM0603 R10 27R - ( 1 /DDP ) - ( 2 N-000024 ) - ) - ( /4D020DC6 SM0603 R11 1k5 - ( 1 N-000032 ) - ( 2 N-000042 ) - ) - ( /4D0206C7 SM0603 R12 100k - ( 1 3V3 ) - ( 2 //RESET ) - ) - ( /4CF7BAEE SM0603 R13 150R - ( 1 3V3 ) - ( 2 N-000045 ) - ) - ( /4DC555D0 SM0603 R14 150R - ( 1 3V3 ) - ( 2 N-000043 ) - ) - ( /4DC7A441 SM0603 R16 10K - ( 1 N-000015 ) - ( 2 N-000027 ) - ) - ( /4DC799F2 SM0603 R17 10k - ( 1 /WP ) - ( 2 GND ) - ) - ( /4DC5A30D SM0603 R18 10k - ( 1 N-000013 ) - ( 2 3V3 ) - ) - ( /4DFF73B0 SM0603 R19 100K - ( 1 GND ) - ( 2 /VCC_PHONE ) - ) - ( /4DFF7469 SM0603 R20 10k1% - ( 1 /VCC_PHONE ) - ( 2 N-000068 ) - ) - ( /4DFF746C SM0603 R21 10k1% - ( 1 GND ) - ( 2 N-000068 ) - ) - ( /4DFF9902 SM0603 R22 100k - ( 1 /VCC_PHONE ) - ( 2 N-000062 ) - ) - ( /4D020733 PUSH_BUTTON SW1 RESET - ( 1 //RESET ) - ( 2 GND ) - ) - ( /4DC6852C PUSH_BUTTON SW2 BOOTLOADER - ( 1 /BOOTLOADER ) - ( 2 GND ) - ) - ( /4DC5A250 SOIC8 U1 FLASH - ( 1 /CS ) - ( 2 /MISO ) - ( 3 /WP ) - ( 4 GND ) - ( 5 /MOSI ) - ( 6 /SCK ) - ( 7 N-000013 ) - ( 8 3V3 ) - ) - ( /4CE915DE Q_49U3HMS X1 18.432MHz - ( 1 N-000039 ) - ( 2 N-000035 ) + ( /4DFF9964 SM0603 C24 100nF {Lib=C} + ( 1 /VCC_SIM ) + ( 2 GND ) + ) + ( /4DFF9902 SM0603 R22 100K {Lib=R} + ( 1 /VCC_PHONE ) + ( 2 N-000062 ) + ) + ( /4DFF9774 SOT353 IC5 FPF2005 {Lib=FPF200X} + ( 1 /VCC_SIM ) + ( 2 GND ) + ( 3 N-000062 ) + ( 4 /VCC_FWD ) + ( 5 /VCC_PHONE ) + ) + ( /4DFF8B97 SOT26 IC2 AP7332 {Lib=AP7332} + ( 1 /VCC_SIM ) + ( 2 GND ) + ( 3 /SIM_PWEN ) + ( 4 N-000063 ) + ( 5 N-000063 ) + ( 6 3V3 ) + ) + ( /4DFF746C SM0603 R21 10k1% {Lib=R} + ( 1 GND ) + ( 2 N-000068 ) + ) + ( /4DFF7469 SM0603 R20 10k1% {Lib=R} + ( 1 /VCC_PHONE ) + ( 2 N-000068 ) + ) + ( /4DFF73B0 SM0603 R19 100K {Lib=R} + ( 1 GND ) + ( 2 /VCC_PHONE ) + ) + ( /4DCBE233 JACK_2.5 J2 JACK_2.5 {Lib=JACK_2.5} + ( 1 GND ) + ( 2 /DRXD ) + ( 3 /DTXD ) + ) + ( /4DCB8C13 SOD123 D2 1N5819 {Lib=ZENER} + ( 1 /USBVCC ) + ( 2 N-000063 ) + ) + ( /4DCB8C0D SOD123 D3 1N5819 {Lib=ZENER} + ( 1 N-000019 ) + ( 2 N-000063 ) + ) + ( /4DC8FA06 SIM_AMPHENOL P4 SIM {Lib=ISO7816_NO} + ( C1 /VCC_SIM ) + ( C2 /RST_SIM ) + ( C3 /CLK_SIM ) + ( C4 ? ) + ( C5 GND ) + ( C6 ? ) + ( C7 /I/O_SIM ) + ( C8 ? ) + ( SW1 /SW_SIM ) + ( SW2 GND ) + ) + ( /4DC8F97A SM0603 C12 2.2uF {Lib=C} + ( 1 +1.8V ) + ( 2 GND ) + ) + ( /4DC8F975 SM0603 C1 2.2uF {Lib=C} + ( 1 3V3 ) + ( 2 GND ) + ) + ( /4DC804A6 PIN_ARRAY_2X1 BT1 4.5-6V {Lib=BATTERY} + ( 1 N-000019 ) + ( 2 GND ) + ) + ( /4DC7A441 SM0603 R16 10K {Lib=R} + ( 1 N-000015 ) + ( 2 N-000027 ) + ) + ( /4DC7A43A SOT23_BC847 Q2 BC847 {Lib=BC847} + ( 1 N-000015 ) + ( 2 GND ) + ( 3 /UDP_PUP ) + ) + ( /4DC7A27C SOD123 D1 Schottky {Lib=ZENER} + ( 1 /UDP_PUP ) + ( 2 //RESET ) + ) + ( /4DC7A1CA SOT23_BC847 Q1 BC847 {Lib=BC847} + ( 1 /UDP_PUP ) + ( 2 N-000025 ) + ( 3 3V3 ) + ) + ( /4DC79EDF SM0603 C5 33pF {Lib=C} + ( 1 N-000059 ) + ( 2 N-000024 ) + ) + ( /4DC79EDC SM0603 C13 15pF {Lib=C} + ( 1 /DDP ) + ( 2 GND ) + ) + ( /4DC79ED8 SM0603 C11 15pF {Lib=C} + ( 1 /DDM ) + ( 2 GND ) + ) + ( /4DC79ED1 SM0603 R1 100K {Lib=R} + ( 1 3V3 ) + ( 2 /UDP_PUP ) + ) + ( /4DC79ECE SM0603 R3 1K5 {Lib=R} + ( 1 N-000024 ) + ( 2 N-000025 ) + ) + ( /4DC79EAD SM0603 R9 27R {Lib=R} + ( 1 /DDM ) + ( 2 N-000059 ) + ) + ( /4DC79EA7 SM0603 R10 27R {Lib=R} + ( 1 /DDP ) + ( 2 N-000024 ) + ) + ( /4DC79E9A SM0603 R2 10K {Lib=R} + ( 1 /A-B-DETECT ) + ( 2 3V3 ) + ) + ( /4CFAC6EA USB-MINI-B_UX60 J1 USB-B_MINI {Lib=USB-B_MINI} + ( 1 N-000060 ) + ( 2 N-000059 ) + ( 3 N-000024 ) + ( 4 /A-B-DETECT ) + ( 5 GND ) + ) + ( /4DC79E48 SM0805 FB1 FILTER {Lib=FILTER} + ( 1 N-000060 ) + ( 2 /USBVCC ) + ) + ( /4DC799F2 SM0603 R17 10K {Lib=R} + ( 1 /WP ) + ( 2 GND ) + ) + ( /4DC6A5DE SM0603 C14 1uF {Lib=C} + ( 1 N-000063 ) + ( 2 GND ) + ) + ( /4DC6A5D9 SM0603 C22 1uF {Lib=C} + ( 1 3V3 ) + ( 2 GND ) + ) + ( /4DC6A5CB SM0603 C21 1uF {Lib=C} + ( 1 /VCC_SIM ) + ( 2 GND ) + ) + ( /4DC6A187 SSOP20_BDQ IC4 CB3Q3244 {Lib=QS3244} + ( 1 /SC_SW ) + ( 4 /RST_PHONE ) + ( 6 /CLK_PHONE ) + ( 9 /I/O_SIM ) + ( 10 GND ) + ( 11 /I/O_PHONE ) + ( 14 /CLK_SIM ) + ( 16 /RST_SIM ) + ( 19 /I/O_SW ) + ( 20 3V3 ) + ) + ( /4DC68E45 SM0603 C23 100nF {Lib=C} + ( 1 N-000065 ) + ( 2 GND ) + ) + ( /4DC68E1D SM0805 FB2 FILTER {Lib=FILTER} + ( 1 N-000065 ) + ( 2 3V3 ) + ) + ( /4DC6852C PUSH_BUTTON SW2 BOOTLOADER {Lib=SW_PUSH} + ( 1 /BOOTLOADER ) + ( 2 GND ) + ) + ( /4DC683ED PIN_ARRAY_2X1 JP2 ERASE {Lib=JUMPER} + ( 1 3V3 ) + ( 2 N-000033 ) + ) + ( /4DC68259 PIN_ARRAY_2X1 JP1 TEST {Lib=JUMPER} + ( 1 /TEST ) + ( 2 3V3 ) + ) + ( /4DC664E0 SM0603 C19 100nF {Lib=C} + ( 1 +1.8V ) + ( 2 GND ) + ) + ( /4DC664DD SM0603 C16 100nF {Lib=C} + ( 1 +1.8V ) + ( 2 GND ) + ) + ( /4DC66392 SM0603 C4 100nF {Lib=C} + ( 1 3V3 ) + ( 2 GND ) + ) + ( /4DC5A30D SM0603 R18 10K {Lib=R} + ( 1 N-000013 ) + ( 2 3V3 ) + ) + ( /4DC5A250 SOIC8 U1 FLASH {Lib=FLASH_SPI} + ( 1 /CS ) + ( 2 /MISO ) + ( 3 /WP ) + ( 4 GND ) + ( 5 /MOSI ) + ( 6 /SCK ) + ( 7 N-000013 ) + ( 8 3V3 ) + ) + ( /4DC555D0 SM0603 R14 150R {Lib=R} + ( 1 3V3 ) + ( 2 N-000043 ) + ) + ( /4CF7BAEE SM0603 R13 150R {Lib=R} + ( 1 3V3 ) + ( 2 N-000045 ) + ) + ( /4DC51F05 FFC_REBELSIM P3 REBELSIM {Lib=REBELSIM} + ( 1 /VCC_PHONE ) + ( 2 /RST_PHONE ) + ( 3 /CLK_PHONE ) + ( 4 /I/O_PHONE ) + ( 5 ? ) + ( 6 GND ) + ) + ( /4D037E30 SM0603 C3 100nF {Lib=C} + ( 1 3V3 ) + ( 2 GND ) + ) + ( /4D020EDF SM0603 C20 100nF {Lib=C} + ( 1 +1.8V ) + ( 2 GND ) + ) + ( /4D020ED8 SM0603 C15 100nF {Lib=C} + ( 1 +1.8V ) + ( 2 GND ) + ) + ( /4D020DD3 SM0603 C6 10nF {Lib=C} + ( 1 GND ) + ( 2 N-000042 ) + ) + ( /4D020DCD SM0603 C10 1nF {Lib=C} + ( 1 GND ) + ( 2 N-000032 ) + ) + ( /4D020DC6 SM0603 R11 1K5 {Lib=R} + ( 1 N-000032 ) + ( 2 N-000042 ) + ) + ( /4D020BEB SM0603 C9 100nF {Lib=C} + ( 1 3V3 ) + ( 2 GND ) + ) + ( /4D020BDE SM0603 C7 100nF {Lib=C} + ( 1 3V3 ) + ( 2 GND ) + ) + ( /4D020733 PUSH_BUTTON SW1 RESET {Lib=SW_PUSH} + ( 1 //RESET ) + ( 2 GND ) + ) + ( /4D0206C7 SM0603 R12 100K {Lib=R} + ( 1 3V3 ) + ( 2 //RESET ) + ) + ( /4CFCD6C9 pin_array_10x2 P1 JTAG {Lib=JTAG} + ( 1 3V3 ) + ( 2 3V3 ) + ( 3 N-000041 ) + ( 4 GND ) + ( 5 /TDI ) + ( 6 GND ) + ( 7 /TMS ) + ( 8 GND ) + ( 9 /TCK ) + ( 10 GND ) + ( 11 /TCK ) + ( 12 GND ) + ( 13 /TDO ) + ( 14 GND ) + ( 15 //RESET ) + ( 16 GND ) + ( 17 ? ) + ( 18 GND ) + ( 19 ? ) + ( 20 GND ) + ) + ( /4CF5471F LED-0603 D5 GREEN {Lib=LED} + ( 1 N-000043 ) + ( 2 /LED_G ) + ) + ( /4CF54717 LED-0603 D4 RED {Lib=LED} + ( 1 N-000045 ) + ( 2 /LED_R ) + ) + ( /4CE84486 TQFP_64 IC3 AT91SAM7S {Lib=AT91SAM7S512/256/128/64/321-AU} + ( 1 N-000065 ) + ( 2 GND ) + ( 3 GND ) + ( 4 GND ) + ( 5 GND ) + ( 6 N-000068 ) + ( 7 3V3 ) + ( 8 +1.8V ) + ( 9 /LED_R ) + ( 10 /LED_G ) + ( 11 /I/O_PHONE ) + ( 12 +1.8V ) + ( 13 /I/O_SW ) + ( 14 /I/O_PHONE ) + ( 15 /CLK_PHONE ) + ( 16 /SC_SW ) + ( 17 GND ) + ( 18 3V3 ) + ( 19 N-000027 ) + ( 20 /WP ) + ( 21 /SCK ) + ( 22 /MOSI ) + ( 23 /RST_PHONE ) + ( 24 +1.8V ) + ( 25 /VCC_PHONE ) + ( 26 /VCC_FWD ) + ( 27 /MISO ) + ( 28 /CS ) + ( 29 /DTXD ) + ( 30 /DRXD ) + ( 31 /SW_SIM ) + ( 32 /RST_SIM ) + ( 33 /TDI ) + ( 34 /I/O_SIM ) + ( 35 /SIM_PWEN ) + ( 36 /CLK_SIM ) + ( 37 ? ) + ( 38 /CLK_PHONE ) + ( 39 //RESET ) + ( 40 /TEST ) + ( 41 /A-B-DETECT ) + ( 42 ? ) + ( 43 ? ) + ( 44 /CLK_SIM ) + ( 45 3V3 ) + ( 46 GND ) + ( 47 /I/O_SIM ) + ( 48 ? ) + ( 49 /TDO ) + ( 50 ? ) + ( 51 /TMS ) + ( 52 /BOOTLOADER ) + ( 53 /TCK ) + ( 54 +1.8V ) + ( 55 N-000033 ) + ( 56 /DDM ) + ( 57 /DDP ) + ( 58 3V3 ) + ( 59 3V3 ) + ( 60 GND ) + ( 61 N-000039 ) + ( 62 N-000035 ) + ( 63 N-000032 ) + ( 64 +1.8V ) + ) + ( /4CE9ADCF PIN_ARRAY-6X1 P2 DEBUG {Lib=DEBUG} + ( 1 GND ) + ( 2 N-000036 ) + ( 3 3V3 ) + ( 4 /DRXD ) + ( 5 /DTXD ) + ( 6 N-000036 ) + ) + ( /4CE9A6AE SM0603 R8 100K {Lib=R} + ( 1 /TDO ) + ( 2 3V3 ) + ) + ( /4CE9A6A9 SM0603 R7 100K {Lib=R} + ( 1 /TCK ) + ( 2 3V3 ) + ) + ( /4CE9A6A5 SM0603 R6 100K {Lib=R} + ( 1 /TMS ) + ( 2 3V3 ) + ) + ( /4CE9A69A SM0603 R5 100K {Lib=R} + ( 1 /TDI ) + ( 2 3V3 ) + ) + ( /4CE9A68C SM0603 R4 100K {Lib=R} + ( 1 N-000041 ) + ( 2 3V3 ) + ) + ( /4CE91633 SM0603 C18 10pF {Lib=C} + ( 1 N-000035 ) + ( 2 GND ) + ) + ( /4CE9162F SM0603 C17 10pF {Lib=C} + ( 1 N-000039 ) + ( 2 GND ) + ) + ( /4CE915DE Q_49U3HMS X1 18.432MHz {Lib=CRYSTAL} + ( 1 N-000039 ) + ( 2 N-000035 ) ) ) * { Allowed footprints by component: -$component C1 - SM* - C? - C1-1 -$endlist -$component C3 - SM* - C? - C1-1 -$endlist -$component C4 - SM* - C? - C1-1 -$endlist -$component C5 - SM* - C? - C1-1 -$endlist -$component C6 - SM* - C? - C1-1 -$endlist -$component C7 - SM* - C? - C1-1 -$endlist -$component C9 - SM* - C? - C1-1 -$endlist -$component C10 - SM* - C? - C1-1 -$endlist -$component C11 - SM* - C? - C1-1 -$endlist -$component C12 - SM* - C? - C1-1 -$endlist -$component C13 - SM* - C? - C1-1 -$endlist -$component C14 - SM* - C? - C1-1 -$endlist -$component C15 - SM* - C? - C1-1 -$endlist -$component C16 - SM* - C? - C1-1 -$endlist -$component C17 - SM* - C? - C1-1 -$endlist -$component C18 - SM* - C? - C1-1 -$endlist -$component C19 - SM* - C? - C1-1 -$endlist -$component C20 - SM* - C? - C1-1 -$endlist -$component C21 - SM* - C? - C1-1 -$endlist -$component C22 - SM* - C? - C1-1 -$endlist -$component C23 - SM* - C? - C1-1 -$endlist $component C24 SM* C? C1-1 $endlist -$component D1 - D? - SO* - SM* +$component R22 + R? + SM0603 + SM0805 + R?-* +$endlist +$component R21 + R? + SM0603 + SM0805 + R?-* +$endlist +$component R20 + R? + SM0603 + SM0805 + R?-* +$endlist +$component R19 + R? + SM0603 + SM0805 + R?-* $endlist $component D2 D? @@ -527,23 +441,41 @@ $component D3 SO* SM* $endlist -$component D4 - LED-3MM - LED-5MM - LED-10MM - LED-0603 - LED-0805 - LED-1206 - LEDV +$component C12 + SM* + C? + C1-1 $endlist -$component D5 - LED-3MM - LED-5MM - LED-10MM - LED-0603 - LED-0805 - LED-1206 - LEDV +$component C1 + SM* + C? + C1-1 +$endlist +$component R16 + R? + SM0603 + SM0805 + R?-* +$endlist +$component D1 + D? + SO* + SM* +$endlist +$component C5 + SM* + C? + C1-1 +$endlist +$component C13 + SM* + C? + C1-1 +$endlist +$component C11 + SM* + C? + C1-1 $endlist $component R1 R? @@ -551,48 +483,12 @@ $component R1 SM0805 R?-* $endlist -$component R2 - R? - SM0603 - SM0805 - R?-* -$endlist $component R3 R? SM0603 SM0805 R?-* $endlist -$component R4 - R? - SM0603 - SM0805 - R?-* -$endlist -$component R5 - R? - SM0603 - SM0805 - R?-* -$endlist -$component R6 - R? - SM0603 - SM0805 - R?-* -$endlist -$component R7 - R? - SM0603 - SM0805 - R?-* -$endlist -$component R8 - R? - SM0603 - SM0805 - R?-* -$endlist $component R9 R? SM0603 @@ -605,31 +501,7 @@ $component R10 SM0805 R?-* $endlist -$component R11 - R? - SM0603 - SM0805 - R?-* -$endlist -$component R12 - R? - SM0603 - SM0805 - R?-* -$endlist -$component R13 - R? - SM0603 - SM0805 - R?-* -$endlist -$component R14 - R? - SM0603 - SM0805 - R?-* -$endlist -$component R16 +$component R2 R? SM0603 SM0805 @@ -641,35 +513,474 @@ $component R17 SM0805 R?-* $endlist +$component C14 + SM* + C? + C1-1 +$endlist +$component C22 + SM* + C? + C1-1 +$endlist +$component C21 + SM* + C? + C1-1 +$endlist +$component C23 + SM* + C? + C1-1 +$endlist +$component C19 + SM* + C? + C1-1 +$endlist +$component C16 + SM* + C? + C1-1 +$endlist +$component C4 + SM* + C? + C1-1 +$endlist $component R18 R? SM0603 SM0805 R?-* $endlist -$component R19 +$component R14 R? SM0603 SM0805 R?-* $endlist -$component R20 +$component R13 R? SM0603 SM0805 R?-* $endlist -$component R21 +$component C3 + SM* + C? + C1-1 +$endlist +$component C20 + SM* + C? + C1-1 +$endlist +$component C15 + SM* + C? + C1-1 +$endlist +$component C6 + SM* + C? + C1-1 +$endlist +$component C10 + SM* + C? + C1-1 +$endlist +$component R11 R? SM0603 SM0805 R?-* $endlist -$component R22 +$component C9 + SM* + C? + C1-1 +$endlist +$component C7 + SM* + C? + C1-1 +$endlist +$component R12 R? SM0603 SM0805 R?-* $endlist +$component D5 + LED-3MM + LED-5MM + LED-10MM + LED-0603 + LED-0805 + LED-1206 + LEDV +$endlist +$component D4 + LED-3MM + LED-5MM + LED-10MM + LED-0603 + LED-0805 + LED-1206 + LEDV +$endlist +$component R8 + R? + SM0603 + SM0805 + R?-* +$endlist +$component R7 + R? + SM0603 + SM0805 + R?-* +$endlist +$component R6 + R? + SM0603 + SM0805 + R?-* +$endlist +$component R5 + R? + SM0603 + SM0805 + R?-* +$endlist +$component R4 + R? + SM0603 + SM0805 + R?-* +$endlist +$component C18 + SM* + C? + C1-1 +$endlist +$component C17 + SM* + C? + C1-1 +$endlist $endfootprintlist } +{ Pin List by Nets +Net 1 "GND" "GND" + P2 1 + IC3 4 + IC3 5 + IC3 17 + IC3 46 + C11 2 + IC3 60 + IC3 3 + C13 2 + J1 5 + IC5 2 + IC2 2 + C12 2 + P4 SW2 + R21 1 + P4 C5 + R19 1 + R17 2 + P1 12 + P1 18 + P1 16 + P1 14 + P1 20 + P1 10 + P1 8 + P1 6 + C3 2 + C20 2 + C15 2 + C6 1 + C10 1 + C9 2 + C7 2 + IC3 2 + C17 2 + C18 2 + SW1 2 + P1 4 + U1 4 + P3 6 + C19 2 + C16 2 + C4 2 + C23 2 + SW2 2 + J2 1 + C14 2 + C22 2 + C21 2 + IC4 10 + C24 2 + Q2 2 + BT1 2 + C1 2 +Net 4 "/TEST" "TEST" + JP1 1 + IC3 40 +Net 5 "/SC_SW" "SC_SW" + IC3 16 + IC4 1 +Net 6 "/RST_SIM" "RST_SIM" + P4 C2 + IC3 32 + IC4 16 +Net 7 "/I/O_SIM" "I/O_SIM" + IC3 34 + IC3 47 + IC4 9 + P4 C7 +Net 8 "/CLK_SIM" "CLK_SIM" + IC4 14 + P4 C3 + IC3 44 + IC3 36 +Net 9 "/MOSI" "MOSI" + U1 5 + IC3 22 +Net 10 "/SCK" "SCK" + IC3 21 + U1 6 +Net 11 "/MISO" "MISO" + IC3 27 + U1 2 +Net 12 "/CS" "CS" + IC3 28 + U1 1 +Net 13 "" "" + U1 7 + R18 1 +Net 15 "" "" + R16 1 + Q2 1 +Net 16 "/UDP_PUP" "UDP_PUP" + R1 2 + Q2 3 + Q1 1 + D1 1 +Net 18 "/USBVCC" "USBVCC" + D2 1 + FB1 2 +Net 19 "" "" + D3 1 + BT1 1 +Net 22 "//RESET" "/RESET" + IC3 39 + P1 15 + R12 2 + SW1 1 + D1 2 +Net 23 "/DDP" "DDP" + R10 1 + IC3 57 + C13 1 +Net 24 "" "" + C5 2 + R3 1 + R10 2 + J1 3 +Net 25 "" "" + Q1 2 + R3 2 +Net 27 "" "" + IC3 19 + R16 2 +Net 28 "/DTXD" "DTXD" + J2 3 + IC3 29 + P2 5 +Net 29 "/BOOTLOADER" "BOOTLOADER" + SW2 1 + IC3 52 +Net 30 "/I/O_SW" "I/O_SW" + IC4 19 + IC3 13 +Net 32 "" "" + IC3 63 + C10 2 + R11 1 +Net 33 "" "" + JP2 2 + IC3 55 +Net 35 "" "" + X1 2 + IC3 62 + C18 1 +Net 36 "" "" + P2 2 + P2 6 +Net 37 "/TDO" "TDO" + R8 1 + P1 13 + IC3 49 +Net 39 "" "" + X1 1 + C17 1 + IC3 61 +Net 41 "" "" + R4 1 + P1 3 +Net 42 "" "" + C6 2 + R11 2 +Net 43 "" "" + R14 2 + D5 1 +Net 44 "/LED_G" "LED_G" + IC3 10 + D5 2 +Net 45 "" "" + R13 2 + D4 1 +Net 46 "/LED_R" "LED_R" + D4 2 + IC3 9 +Net 47 "/WP" "WP" + R17 1 + U1 3 + IC3 20 +Net 48 "/A-B-DETECT" "A-B-DETECT" + J1 4 + R2 1 + IC3 41 +Net 49 "/TMS" "TMS" + IC3 51 + R6 1 + P1 7 +Net 50 "/SW_SIM" "SW_SIM" + P4 SW1 + IC3 31 +Net 51 "/I/O_PHONE" "I/O_PHONE" + IC3 14 + IC3 11 + IC4 11 + P3 4 +Net 52 "/RST_PHONE" "RST_PHONE" + P3 2 + IC3 23 + IC4 4 +Net 53 "/CLK_PHONE" "CLK_PHONE" + IC3 15 + IC3 38 + IC4 6 + P3 3 +Net 54 "/VCC_SIM" "VCC_SIM" + C21 1 + IC5 1 + IC2 1 + C24 1 + P4 C1 +Net 55 "/SIM_PWEN" "SIM_PWEN" + IC3 35 + IC2 3 +Net 56 "3V3" "3V3" + R1 1 + Q1 3 + R12 1 + P1 1 + P1 2 + IC3 58 + IC3 18 + IC4 20 + R4 2 + IC3 59 + R14 1 + FB2 2 + IC3 7 + C7 1 + C9 1 + R13 1 + U1 8 + IC2 6 + C1 1 + C3 1 + R2 2 + C22 1 + C4 1 + IC3 45 + JP2 1 + R18 2 + JP1 2 + R8 2 + R7 2 + R6 2 + P2 3 + R5 2 +Net 57 "/TDI" "TDI" + P1 5 + IC3 33 + R5 1 +Net 58 "/TCK" "TCK" + R7 1 + IC3 53 + P1 11 + P1 9 +Net 59 "" "" + C5 1 + R9 2 + J1 2 +Net 60 "" "" + J1 1 + FB1 1 +Net 61 "/DDM" "DDM" + C11 1 + R9 1 + IC3 56 +Net 62 "" "" + IC5 3 + R22 2 +Net 63 "" "" + C14 1 + IC2 4 + IC2 5 + D3 2 + D2 2 +Net 64 "/VCC_PHONE" "VCC_PHONE" + P3 1 + IC5 5 + R20 1 + IC3 25 + R19 2 + R22 1 +Net 65 "" "" + C23 1 + IC3 1 + FB2 1 +Net 66 "/DRXD" "DRXD" + P2 4 + J2 2 + IC3 30 +Net 67 "/VCC_FWD" "VCC_FWD" + IC3 26 + IC5 4 +Net 68 "" "" + IC3 6 + R20 2 + R21 2 +Net 69 "+1.8V" "+1.8V" + C16 1 + C19 1 + C20 1 + C15 1 + IC3 8 + C12 1 + IC3 12 + IC3 24 + IC3 54 + IC3 64 +} +#End diff --git a/hardware/kicad/SIMtrace.sch b/hardware/kicad/SIMtrace.sch index 4a203c9..72e82ad 100644 --- a/hardware/kicad/SIMtrace.sch +++ b/hardware/kicad/SIMtrace.sch @@ -1,4 +1,4 @@ -EESchema Schematic File Version 2 date Di 21 Jun 2011 15:40:25 CEST +EESchema Schematic File Version 2 date Do 23 Jun 2011 12:55:36 CEST LIBS:power LIBS:device LIBS:transistors @@ -39,7 +39,7 @@ $Descr A4 11700 8267 encoding utf-8 Sheet 1 1 Title "SIMtrace hardware" -Date "20 jun 2011" +Date "23 jun 2011" Rev "1.0" Comp "osmocom" Comment1 "CC-BY-SA" @@ -747,7 +747,7 @@ L R R22 U 1 1 4DFF9902 P 1150 6200 F 0 "R22" V 1230 6200 50 0000 C CNN -F 1 "100k" V 1150 6200 50 0000 C CNN +F 1 "100K" V 1150 6200 50 0000 C CNN F 2 "SM0603" H 1150 6200 60 0001 C CNN 1 1150 6200 0 -1 -1 0 @@ -1199,7 +1199,7 @@ L R R17 U 1 1 4DC799F2 P 8950 2300 F 0 "R17" V 9030 2300 50 0000 C CNN -F 1 "10k" V 8950 2300 50 0000 C CNN +F 1 "10K" V 8950 2300 50 0000 C CNN F 2 "SM0603" H 8950 2300 60 0001 C CNN 1 8950 2300 1 0 0 -1 @@ -1545,7 +1545,7 @@ L R R18 U 1 1 4DC5A30D P 10950 1600 F 0 "R18" V 11030 1600 50 0000 C CNN -F 1 "10k" V 10950 1600 50 0000 C CNN +F 1 "10K" V 10950 1600 50 0000 C CNN F 2 "SM0603" H 10950 1600 60 0001 C CNN 1 10950 1600 -1 0 0 1 @@ -1729,7 +1729,7 @@ L R R11 U 1 1 4D020DC6 P 2850 3950 F 0 "R11" V 2930 3950 50 0000 C CNN -F 1 "1k5" V 2850 3950 50 0000 C CNN +F 1 "1K5" V 2850 3950 50 0000 C CNN F 2 "SM0603" H 2850 3950 60 0001 C CNN 1 2850 3950 0 1 1 0 @@ -1778,7 +1778,7 @@ L R R12 U 1 1 4D0206C7 P 4150 1300 F 0 "R12" V 4230 1300 50 0000 C CNN -F 1 "100k" V 4150 1300 50 0000 C CNN +F 1 "100K" V 4150 1300 50 0000 C CNN F 2 "SM0603" H 4150 1300 60 0001 C CNN 1 4150 1300 1 0 0 -1 diff --git a/hardware/pcb/bom/BOM.ods b/hardware/pcb/bom/BOM.ods index 46cd1d92a1532903c25744ad07071beea3d730f6..956456b20fadbf01af49772ce03e5d69706d81e5 100644 GIT binary patch delta 18221 zcmZU*1yml*vNepm1b25QxVyW%yF+k-3<>UTL4!+hcXxN!;O-FgC-1rEoO{3jS?gKT ztEZ>Brl-2AcI}?IY6RWM0Yy}j1&2Tf0f7Ml$nE!e8_MCQjGV-{qQu-)%wbd> zj%E$BD=Ue1dMhVQTQ8;fH=Q$QNoi^N!Q6r?thqgk`cH&)OEH1h#}wpqD>KBS>La8g z(_@I4DL1ft1EXeu;GL_(TPNe|M78Z>GH{A*dQ1e}Yw97Asa;_zaQ8(`!>1qF;B;;| zug~Y)UjW*gHN-mQ8n^LCHX8M&S$@(}CY@VuRA{d@X00wQ+gBI28R71#59SvJQ)tzSwPYdA%M;BikXWQHtObP=e z43k1+43lfGK?$eMpT1?zDeI92Ee8=z*8|q0aI=iU?6<$9k8K7@{=8J2Oz0!B_L;@3 z^@rzhDGVza2(LKHKqYz(IF(}~LFlRMuWuuDD7=fq$$sl}q0t`;JoSZo=@ z{`r*oQ-1ZNkZJd}LUi-m=xZ6LpMoVL0?Zd{bGwYK?1Ia3=}ckAK{WS>LNHqh(G-uYTK!MdKWf z-oTS_04%a(rcx9MNcQi)Lz*lz4H^5gMbQ-^z=Qn_n{pI`vUoW%Ouo4RwfUx_e%uTl ztdtc%O*~_V7Cn6$(yXkj$N)~)Sp^fG?;bef#Nwfp8U}z!(ZTdt7F_`fOR&o=8o06T3k>a4jm*j*_ zYI&^?;r1>Q1f1rgY4NEDG7!)?<_<0VeyxFeW}AiMuXr%DeeZ#{>ZcKf=-gvD!1k`nKOG^lFLS6 zMW0Ky2A`>>XXB3UcE7jH`Z~1nQ86mV>MEh4?+7LGH+BxYut@?>)gK!dU0N3pr#q<( z^Tky1k-W6y!J~1X>jRQxzF>qeju-AJ0(QSCuBfUpCoYytwQyT0Yt6h>oLa@7XXV@3 z>km`|!?uI)FsDQ0k!rP9W%R^EhgHG0%5BqSO_h8^O=j79mwkBkBvx*@rK`ltIoxh^ z7i_YQ+?>QeG&q@v^YJ(i^5!Hv@v_J$XDI%>`P;Y=VKuvP$(7!SNJe|e7llI16&^?I!MNG0%+I7%= z3fZwXC$jRY9BrDmgYW7WWT|XJynv1Ty_HG)CAGsrlldZ~f5r+WrcnY+RS8^G32c>i z)^g-SXMBDKI$C&5K{-qx-6jJDKvR632U#0uCSS6B*sw!f+c?o)6_ZsSg6J(%uw~v; z@eFF(t#3uP7MJOBno6|0+ex&m-kL+fUNXr;Lgv)&o8;M#X=K;5ruoINC>Twvd$a?z zUeD4{{KrD(osc0MA;DSMkP{w3{x3GYBuyD3^0jYCwl?yL5xHX`Ty@7GAtfLDIOBEsaqbd69Wq?>NREf~Zy7`@3@-3K8drk9D(tz($Mb zdyER+`%Q|6yVLbKBbTzm#GBvzai#}jN82J3)9q7^Tld>W=kwwmqCz}@d^YaJWk(`& zec!&xwOwV+pQ#v!k^KNc;7+#C{(U9?oAL5RtLO?oy9kKCNs-oL8;xygBhb?E_jgY2YkJ=R;B z^KO6IbiBN10*dC#9&`m|Z`CmGN?}3?LGk>c3HbvwfXiA4MK|SgS}vui?(FUx zn{myV{A))+*~d9djIwW{kW(F%3SAC!E9~4S_sGo7O7;1uYy8igd{aC%NB(oq750fCyk%p^ z9ZR?Hn0Qkk2&d>yAt<E>@F6f zA6VA>k$_C}14`GQH{8&!t5J&9=6Uk(h6?ww&U3uKgmtJ}zle9;;%RP49{xglR(4*A z0f~M-=mIfLl6|vz*+JrKaFvU8{e^#2Jn%Mhs>nM7ECY0sEno9P9=4tl;&w6H%7!ce z1-!SwLY1UXlv|~IY7^nRdx5*=Fvf(?NW>P+wW}xF(MCDj? zx4c2+@=x81A78VM@^_&ms2;x0HZ#zoH%4K#Z$O|og_y9&NJ>PYQeif%$X8G#jvtC=^#vVq~c!t4+Xykcp!Gx%WtIZ=|%Xrihw}^So{uVuo8vORC23g_s zSH2Nf+;?LTnk71a|LReSYHn!&J9x9T1ylGmy5o8K@y%;ppz>jIYx)qm>Rwk@IVo68 zoxGmx?u5a}!Lk6ZH;fhmyts#Bn;dFbk0o<9+}KlIQ!>P`1-YZ4(qW{PiTqyi{wXK; z>CVCYVX(%oF49Ro<#@46Z&HRe7;PLIYAUk)8w`G@9!th-jIrly>d+u?F1;7vbFW@I z-?VZ5Gpel9?Z#KaqTsnF>>R#x!FT+)6L&vk5L+QHXuDCRV<)eYl(Gw4&H?wU`noUr z=6eN3>-Ty^RS778ZQSmyRW)+DdQ9m#9SEWFepB!eEym$7aYhv8SU)u8Jbo({ zPDUo^gOt0%*x$yVBPV1U#8HG4otj_(A@S7F#$2nWWqHKt zI?&A+pla;D`RY?XDG{H$1s7ks=uyW2J&F|`-YEI?!KXH4uz-;4_LL-FM)BsijgPKNYt z-?$>5;6;AVM!WV0-k=Xtork*3HRxEwQpRc;)I zKBCU;`CEk)kJ=g5SA$&!#UQ$O<76h;M39$$XtuIb{Y{iUMQ(piTP%=AwhxO63M70Q zp-x*9gVgBaGY1{Y{e`Y}X&2!2-K=1oG>juc$f|ZBmmCb}_pNzx>mliP{9d~t37Bvx z9GaL@fVu>?j#l?F%hOfq4OXcNvAGhJNXpajtLZ4eIqb_%|44EOBX6OImuS3g(eMf_ zawDx~V-0KQz))7f1b-$o5!7mQ)b9)SL0HWz)h_X#6I;&%EB3}568f3+F7PA1_lNM_<(@|Aph$J_fd9n^|CW}Vf3`OTi3RC zT;=%m)?r9e+*JjdK(lPE<6RwKEItit&zyhOF^fv!QXuv%|1`hTZxD;-tJ_>k`6_Ns zdGsU!k$?xE%EjCu7CJvY-TsDnJ z{{$;J$B854!g4l+ZuFxFM;weFk^kmG%1OI%8IN8+TNt>JozOBK^dn%idA^d`{0Y z>g;jn^(76l=`0h*=f}yf>KyA;{ymq>3vzfB!ahEx>Rf(Ik4Q|ShBx67=YXjrSDg$E zct#fV@L=x>()Tm9}T3_8RrX z&F5(lED|Az-D24e3HbKI;UUF1VhXHMlK2Tn_ne8E;`$Mw|4|67GszKb%~@E10yZpdO@Db{DwY5F7sck& z1vSjYdTfHnsEghs;pqnM4^{WhyUsz16u}3lg+uSmDO@76eB$pSQLtDSpvo#3y^MS& z!cl>TeD#JP0}?Rv_eUjrVKRRxR6B`*9YNX)2679PI84AI828$MHXcBs>b5p1yfH8t zxaWFf9=8vDmE`K!hc0c?tdlw#n27QctUJuqr=S#Jk%FF}j;^4HqB_qhX~*}U+1tw{*NKkUj5TnU zMr1*s``Q57L=csW%_rYM5L|G^rz9I@w+!6tE2Wqw|GTbA&fco0EsNcApDifYoY$%G zDMwDq&t%~j6{qV1`d@ggtA4Bn`XTQ&7iD0AGN2E4G5y3*N7X4wvm&?14A_QXq9=O4 z+7*oNq(sb1cad4W=kWS;gfh`6mQ{4hzPHk*53vsHDKNm#*OJxq=lN!wG3EPn&z$bmYo_8Ao!;J?EAcP|w)2EcqQMK09rQ z^2>0)BlI3(R?d~Lh~Uxx`I%W zP4EhUdr{%1L&;R$7WyPXb$r8QrXs6b?f8YGRI-w-dwoj(je?`fUJ~g!UW&E63PWG2 zh=B7ebpy#mncr}f zBS$IZIMgp04EOLcwXX3zVF<@O1>F2(Rj4qAUH%ZQ|K6{POB};i^zwfP0oVo z&O))ObkVA#vJUwiuPKK;XDd`2a=_dgTU^)^hhFoIu}y3gV+M0j1l&W=i{03WsGMWe z3QfRPDke!WWs|dv2D$#*K8AIpLF2cDd(L@6+|4tq7c7+4&X2_$8iwPo872)91Y`*N z-;4YIWcmcu`geX>f`foq)|R1xg2A$?eP-oh`OL$dP>+!d$-*kn!j)iv$q34t5RIt; z%9*f-DUI~kC3J$jmiy9!fcVlU@L_!hRzN^NL14f>e1lH;`xg)pdNFA+VKvW;vrK3` zG)ufLCK3{3CY+iR5Dc^Csg|x5C3H2RFF&omY=wZqseBswB!&X{K)5ATr}f3CEJ-H< zjuZ~b=_jcQic)Z7?C$8qIt5l0SabZt`wetTD^*HsTP4=xX75dGsvIQ*$QF1!FlUfv z@X&zI!mL6fa|Q#_6u?f9ehprJK$kThQUyGD(z14qF+mS%UB+H0l6y7TQ)95 zwc(!IN1(g8=#bBW5#imh#AGB}_;q*HZ+$_tl~Ue2Go!UXdd2vFG@T90z6u{(U4~?K zZ%i|zJ&R3R_g2u;^!(k<=h}Fk6S)s%FVGGAo8m9=Y>@6!#=r!ChS8ftbG6WOlhfyXhz;LCPiC#-=I`dVEc|^ zwWmbrW7@hRJuj-xYq9Z zp6~#;m|o1K5NJC*d+mLbJ!gM_55Y#D%$zW)o560|jN6^NogY#&hf{NL33woRohoQo zcWhuG1_L#zGDrfRS0EMy!Ng|Rru+UB!7>^f5)fVbo&L$FAK|TlewPo%9*<(U4X!yb zH=L7TtfJj;=@^@)*25OULc;u+q;Omt$Xd8kc=q_EO!ZS_$4YAGyd+i(PEo~@$P^aV z@-@A2>VQJcj=y*aOJ)a?j!)mH->(YOKJJT!(}<9JOutg#g40^d3n!n0gObhuY8NMX z#5L#}I_Vt57YjVR@Sjm$C;c}my2pZe9K}&5npmxG6X!y+p>Y=8< z_}J2T$fZaFCl9g+stPZSK%S?tQ-|6 z+w6k`Fc!6#+*l}8F-(Z|Y8NH3HY|a39NJL6tBT+o-v&X){+AtI0w;+YsD74fUkZ zxF$UM%RF2VN&h35E)>*4va{EME^f<0eJ(x)$lN45!n2GL)8zmq!=e*ktAoUm{P|%; zU7b4qMhS(vxRaLOkU7>2>qpFQi339RsLH;5A`v%lIBR>;+pQYNW&-^9*nR~%NGA8G zgekz5wah+W5dV*g#jl79#(uPwcy(9BgNRfUTk%@i8|PQk$&&bMj)&=GGe2(I@2Oh- zmU(Pw9m~!^?mY7MtWogc=U!Z66ovLJS^Ag_Vl%cXoQ?NcM$W5R^CaI1-pFmE8DGV7 zUoQnsvfg>5>tCq?xCwN#3eLw!M&Qq_5^e!~jv_l;8NVbx?!)ba>C*kOhCikGEra|H z8O3=oh!>Pw>2b4Z_}=u^`KaDD=fm4fwN}2(bdURwzEt|I*cXgMjKw)=xN%;J)0v+- z1iv(y=-i=bZqdn;yaO^&=unGPnW` z_7=pUXDqGTp7z!TndC6eZNf_kew*AwBQLg;c|8q;8+y^E&MJ3QYPxO(d4awRmhWMG zKS;7kAdWifHu&+CHX&3~l+S&XX(Hd~40K9-O3*B5!ejBL6?gD2Oh(70hOxtW{=!!^ z22uzVL`o)hf5Czsm7%qRI!j0PLdi3rIw#ABKjU3NH#&#U^>sp>d}Z~vs~H(~G6Maj z2AvpJziNI(y9tduAxPTA!~RNNMSM2W|6(XX;Rx(WO&k8hngZKcQyD$! zRk@<(N{w;7R;QbC#bndI(F9{)bXu1!znGi1b^tjjF zy@yg6>&*~OSZ5Pt$&)rbvJ>=>Rd7|lQaB6s_vb8EY6}ve&UGLwW=MG_ zGz{b^MJ!Em5gXxPJgHVCl|o@`{u%%M6H406^Kc)Q_RCG?1MTQ+$!3TbjnKuPXZ426 z3VdvCY8UpS#Y%pYuvz7C?mdu;zOjXjb@Dah#aZt2(3`~$%p6wYG)CTZfZQStQFwUd zL8*la;>9-j@Yhov(i^$(<`mX~}jRBaM8sRlzzzO_H9u@b8`0&*I%;x$IOc zDo_P}e7R>kIZ0%bU0BS7)IL?WrZMzpF~mWBR1}%3dRR7MI?J=dV$zy$3#Y)$_^iQ(67W#2G zTb_mm_S*;fH6sml<^!E&XI+;+?)G3(cnfnp8_bR(-QB!y(x&JJgaD@1WhBT$RAlMt z(BZ7am*=+eZJLQ(@D-i7htPb-(qj8FqlE(NZLL}5w^r-(v6h$o_VFy`_Py0sSYq?T zy)>FA)_BbJo3}p?Bg(%~aD7DTMq9M_XLn zvFuMq-;UVg1ytU`Zvw{_V&AdV0fOO$Y}Q|C#ng&I4q#GPeN$5g1!vxu6PHEUI`if5 zIOYii#<+Z*gMw&GFDM2$Tg}@8Y2!$^RS3Fqpat!n4>ntVcHnN1S?CVs-Rw(c0}}!U>P>=f6}Jxn=bbkpg)9 zB=4JktUi-@Bm=O&93FOrkmytTa=TvR>3FStE;G5lN(lh5I;EWuh)9zUg_pJ6CE0$4 z=MDToYlABrO%9^eWt)QztjQdqAJOoST0}2iCS8e4-6!{~EYn@Ji#W3aV+V=IHsV!SQ@Lo!s*e z=bSR431BNAaf|YF+m#NU@m`<#H^&*Cf#IJ&eqyEb-c|&)4Kc8w2m$G*n!1CoE=ChmI?W2FVF8AG7!Hs?u0LK&5mb>5WOgga^k_7_-1$ZK4|}$J zsBB(wM^HODq0%9Lr~_il!=xUDAQ1^$r#=mTbWmJ;kVE_~SBNc`f0j5G9@0@0Y5~y& zC=X7v9@-FaCy|>3-*f{7vXMav!*eZtjHP^S(Q`E4H+EdT$dTW8M1yUvu$#~E>E!(k~;@iDLqs! z2N#GU^KvF*S~+65vvZ)vf|ND3bu7&IwHVJ!Z5bmc&HZ&K@q=+;=K?D+Hw6O)>4B}N zL!A-7s_m&B#$uHr0a{z%0m;w}I?rnIWbCu^Lv6gp@7Cjik%QI0y;uxW>(eClgZQwQ z?{x;#GbU=Lp#YA;{QekX)2y?lO?R?3ze5Jz?p%M}(YjAaC zso6ZyuUbsrQOU2=j2`WtCMhrbjEPNQpFMOm}+C)FWbFO3iu|HZHp6)On z1z%39I~pG(&(Smlt^~7aGt6o7v<-Jumy)O#@D=yU?Et@;o58b@(Fg0bRXi`0d$E{} z@72LHu2!4c_lxVCR32+3Ak#O7lEy;=i6lE5KE8WsGVe)}Ois!9>MW3dohryIia!~1 zk*TWm@qXgqnwqhpt*8AZc%k=}4Bv7!7iJ<{3gO{-!S9Q&^m(UYO@bSHuMNDs1GMP< zW1Y;efM+PhX(O8O>m?%G@Q^{;vZ@6PQ5Fnd>5I0Kb;irv*p7J2>qOA1@E_%@5NM($ zfoABzhF*5hHkT~LPYgWslI*tQ$MF;)V85gc-C7}Rc@{S1c{FD7p{rGc#Qmxz8bUuhx>x^aFN=itW;^7~(v&UY!hGfl9f)-u-TmVFG(b*`(K%sUG! z?`LUC0l)#YfzC_zUKyHRyCy_ZiJI=1M7giP*A@f3yOPF_vGyz+MF(>6zLJ4+Dq@E*-aj}aQBYt6f!LDYRo|q_zQhiCoke zjOMYu%Dsm2N<cJi3w%rcl*w;)18Ch<{-O$I|E4AhrGWIi04`lMl+75_MtmE#R#+1S zx}fI@T-e^ss?Xn;PRHovM|Rey1!NDrx?fH$(7!YbF?a(XE`e(B(ygR{2&`a)PptY| zXhvWCf3Iupx1c%n;;eXBLK@aAv5P;K)o?RcTFPjQHlBatBkhG(vh7W3k^(Tk2rYg; zHh{Cf)K!jPfFPutTfw?_dfWHU;XScirr)TAXlIcmBXma5zzwcD7*4%4=U3}s91O}^^6TE5Mm!4X|A7~6+S|NPe+yS0ER8J~(Ea<-$`p+`?>*$d} z&_P`9Ev0ZKooT>uI0mS;csC+82nZN+IwD2G)thE~l+yVf@Yr7jwxIKKHcH5XtK#qt zH^XHvNfY&gw;v+3g)9~)ny#f2%Ch^>i9z4Np+!CWo<{5kg1?DT?%xiE*Gi|x_rY(@`t1#4(__EeHA}_JL%pez91AIbYYf6lTMPw|p z`KA5kJ!Vm^9fN=9*YYr$%Dwg-WA_#0>$=noK#MCK`4UN z<|5K?ahL&3JFvrh}%!h z>YrbPx>%-|9|eUUrnew4T9aVidj^NV=Iqd+?8gA?J^+NRX$}Mz|1ulQ$_Zp^6XdTi zHhQyoWh5i#%F_^+UZipDoy&?$c|P!s*nn^)9Ak<>etgOL4aNBut>#yX@vHp znuX{s`wpt_= z7awPT=HEt({ga09ITDMUstGTF_fe0z-SjPt>UTq?2T<#TS5@u|8>?2( zvuQzpe3nxq@DKwqaL{)Jh<%d>$_yubUwYUyo@L@@F_&_wmbht6ysAu0`AZ6u?w;|6 zFLiGzb7rl4vLx}(`cZsr8h*2(h6}O=Q#)10TnZEofwCLvt8tUaaks}M+&Y9XY;p9_ z8+k0z&nD+-3i|Pm;EnIvc>ehsSt^A^NF zRIZiq6e;}$**5g|vmUm0Onxzv8{}eYb2OXQF1H_x{fy52aUzR%>yudf}-VD)%$rWQ|rRO*ZQgKV|$l5$Hr6 z#8W~eunPL4QkhnSPV#;JhjcSa$8uY6DiCpMuiX|k+zp^{oC-bB_-_dMRzblS zJ{G?Hgkt8-YGxzXE%qnE!p=zJiVt0Lx3?=+C(}|MzAIcoyosy}2wr!Run+ooltdVj z7rV&PWeM30Rq~#@Vg$_%hBdQ7a*|i$pC%I(4DG>4W`j@I;2z_O+rCh`=QoMa?F5|k zsZj!wVwkOnppe7OIrDXVEBf%k&i@7%_pKvC@Mw`2H^u?un)Wy9+o_jfJR>T6IDM{t zR>4~9aA{Q&xLH)cY>awi#P*_OLj(KJbx`n54e1J5xJhRkI=hvWVl%*X?u#!!>6s{mlYKoeXthOeZd5lOd4REDan9s&gq$m6N=m$0&>bKF)VvK!8{$ie4AKVoK#OgE=APKMCTESA|6;OV-8FvMCAsl zn;D$obDVCN@_DZ#O#aSjtZ8b4qHfMJ%`o~MDg?`iQP*KrHg_%gllJim+$6?jKOO5DX<*s5?u(>nR&)mgC&tPo6BRfI>xMjfc%)Y!OW4r>nH&y+p0*CjVzaHG5)e}PW8Wv<)r`5f%I*hIQ8c6qbaT#T?j(7TM-dJdXaw!Gg>3T`WHV z*i?Dc@QjT@#KzhGRLLx5ibr#(1!}@Fi5Ic5gi!+{eE`bF1!Zq@>4%ZaAgkHTU~4aMqTk&pWbl;WGC z%Kz5srsIAR+!C#JS2Y7f0`gcI8CEm~!D8((g`qsD$l!7D$y{`OO3(<$j?0A8rz{@Z zj>eu>uYPK^($xIyR!&>BHw$n{#*5g9VC^N8)Z@8$1QiM}1sP-B!UNs-cc}Rnk&B2# zW!-CR#A(gFE$v({-RZ~YG1U(B=%?LlZp7)$y$QeX02Ra2DcWW`6>`sX7gCHif+k~v z6#r>gS=>g}T+Clv=6q|8sog{uV0FK&OPKpbGoqD_EcWf#VS<;;A&B&1M33wAee!3; z&|g`8kf3DAqq7QM7Z6Fy5H zC<+1ZtyCKo9wVIn&7tUtLRCCk3$YRrTf7t}s1n^1$bC?N(hZwc_~`&7<6f>4Ga&w8 zhio}ShEaMwl6ofq$LDF#u&7%Rj-c6EPME8u3W=1sbdyHVY*u@Ek#u&O;#;h4(IRN|Mr5%KPWD8n?!_<`P}VU@b3f7M>@9b@RjoqjDsoD|S;9J|OrMyN93G39d`!-Xr@4;5snRUN z=U@|?QMqPKpuSHl#NY?6`^FB{WT|~y3*XoScjGoN;7=euDgo;fx9)IRyX`|AhWT-` zyY(L8m{;9!7TIcLm-%93<0%MMCvKWYBy?~j;0m*Q7j4wv)&+zF*6og_ELcRiOgpPr z`oHAP2lWwu7^HF?>6sBg|2R}BTR2#(53pSD7Pi- zVBQK#;?8ZXkp6K?caFmdQUXmsfMD>)IG41~EYZU5#+qoyUBZZn1s!Un+g^k80=6?@ z=*`3eVi0+`G@5?onC*E}uWMdnD|d%bDL1pbl1sqN+y0h}5XkNB0e=)Gp5%6OAr}*g zop(0#)`aawgP`iCIV8h9T3k5E{V@^l&0xIk7gt^=vOQLEP4?&P`({|z2dY50oT{z| z_n^BA7h(Bdj>*QU4$vK_tA}+dYwQ^>7ptUuCsal^h+osN3ju`^`a9VjwBw<&&7hdn zH(L=_PrlL}5J&Wwj@qj+@_?XePi+I_dTeNM+i3`{Cb*>dxy!%HLkXz|A;PR|vD zvL>f{+Clp}6cvf=ylgnS7Y>5q_^>!I-S8ouCAWLqVHwCE6%J_qMUW25qz;%RKveQ} zVgV*$ZbLqX^7U{ESDMzVAmGGl(_74q2+|8%@FNz^9gU&^dEq^>iL3T_Mj#MuT$Gu+ zWuP_S|6LTVFSjRmG>B)iKKtG+1tYw(UuOMEjU#aqjxK-u~aM>Df^mac_jNXp zFmYx7r*pLrmGVkmWQU+a$g#vhaoZ9;9MD9?1Obhmy>#E))>x@5U3Ek*2!Ob8G!zQe zcpcE#kVxE^`Nv#wrJx&>0AXqi8w3&QQNrKj-|1Ze0vsY^5xn&YR9%Mz!V3}34hUD0 zb@hPWAdb?^0-6RWEG-S;-?BoQGxqFUdRBQW+A>2>2f7-@|J3=ibIJWv7rW{+N^9o> zdnnUV289K{*{ku&nxc}2WFj(F{aTX}!kX1*=Mo;p$;+f5Z>A2XAVr<3|Ih@)73sfp zNJ;nf8GRhCkAy64?l(%IuOFr(SzjxLA@1dO!qWCp6aHZte{1$VPu>%1FgAo{!EUV+ z2tOiDWkJ)-bW@?Ty&aIt{f}mrVOHjjpS=VBjF|<;BdQgXToj9}2T!D6!Dpp(F*PC%RZjln2H@Wbo@;s=jv5ZGxp0D0 z2PrUp7T#2MTPOCxus&T#Glx}G!4czcP##~={1eh~Q*qbk38x=XliN11W7)Tz z{5R>-T|kt}(ZtQmfXKR}e*k_Mzg%5s7{HYNHNW8QN>^cZHo1-KClnENNJ=_@tA)F^ zwp2`nQ6X_eR3mcGdZJW^ACNrtzj8qZ{-uaW(g;u=N@aW~75ruTO5ZlC&0yHUTj!K! zZi}7P<;qzVTsDAp^I$EQy`#=d|B_Myp3zgHwjp0)=@Xo(1?9oy#u_hlrf4)l+dQF~ z<+;{MdG{uUhA>dr?q#o-KF=nIb;H&)JLt`MeECHcoDPqVQi-1xUp8uHBftELdXAKX z4GHGbx~Wd&^4C(f>%*B6oc4E&HiR|#Q>T^6?kE+e=!vN7=Y;k9IX~C+#0WmbjlnHz z9t8&*sUaxIV&z$L%LLB|^wG<;!R;#FuB>@2vuWt~6m$ULo60u9=%TfgB9$<{T)@fp zUZDhZ1fdck{v`v*#mDZCzfUkQFqc~9_Imcl;-&rR>E1ZJE`B^Olhuu$P3I()qdO$` zbkk0BAGsI&SMpfW`9i8MJvsdzLjK6QD7u*v@UwW4AS6JuU8? zjvK@B6}Vf}<+BV(`5T;kL{By)*Wh>m+LG~l`|R9!_UD-@bQc*OybT)qxL|F~8WQWb z{{&b;x9*SVK*39?&hvcn;#PuVOfL^~pDjY9G(+N<)wxOIgqaCb-a4lYM2FiaEvdBD z%|m_3xGMBs1=!(c?&ALXKbwRI!t21VN%K%%fLH+-S&+21K+?{?JFPj*S>mB+K&1Q|` zXpm`7R@elW(<^L!`Gqb7b#h;GGJPgs>5=px+W=f{gz(D_7XaP}Be4G1+iq>9?SNKM z+x@{6Pw2-Mr4VSRu5AXm1?35s@8Q^53eM&%vO+zc)fVnMY#ks!*n9x>@;bk7#Qqhl z2RF%TR)=Vx`_(}RkYobNZfm0IAJPTAn1UXI_;{Qbr*vmc0>L?zxaI?U+b`Lq6%5Yu zc|N+*9>Vzw6xi+1$Bi%SqgMvmf8RrPnd5{c068QTW8<3h*xne0hm4U3jf{|TRDGEO zB`Os11?PdgtR?s#vM?3b$66JJUR3J}M^m$#ptwEl2fG&twTW%=^cggZBywsCTv&tC zAr=EMdgv3TX|u2jC*y~c`ae{kYQQtKdDjo4krX?(RsI*T9jE@n@1e~p7MU=gE1_`l ziKOPX!Xif6HIgvebw9i9B|CwZ2*xu9Bh50iv9f5D!Kba>Nh=eJfDbZa0+pkiJeEK+mFez> zmkbK2R>g9OY~ltAc&yaI)^mu4Cy}rRms>jDv9eg=rklGPx~$&%bZkyHiac|ePITM> zK8hkFE@#bm-s!2;Xf8VtX6ydRzk?x)DZl)5h|m!VUN|m;ReuMT^RYri%=h>uXQMLl^g) z=K*h=&nq7t)y4O%W{f#qm+cMtl&@TDh;P~{75_vN;qI= zQVCD{vz^9*ITaxq`3UW%`DrV#6|lZ7lcJfZ>B**~r~g8JMvz!mt1S>sAATGng>q6l zWKFFu+nRMfNoh1xw^&4UQYGs-uB)wxewz65tBmZLl3iF>*J(8GO8TiEOEIwDsimb4 z)m<4#Ov7ldBPmncjv`Rva4R%1hEUL<1LOMtC4OZc6;-|+4|NS2x9Ji(xXAI57=O;F zkoXRDkrc}zaw%jQtd$;~vJlP^-IEiA6Tl@KjwujQ>%$enpk67MF#LDa%xc*!Ij^#N ziksU7|Cr!JJ=2dS8Njv%YNG!Y1@)1yjc7{_ z_W*7nri=XF_gbXI6~rn;jK2T(otOXjl^3c6V&x;(V`&S43-8hKJ#RY1fB>Bt0*F>|g=Z9L-J1?@d9R~sZEoW(dC!gC~kfvi9 zB^kvyg7yqqDm+5%xGf}9>4IKq5MV|*D}dzEC#_a+RXWOCkq~DOnas9a?QqE*e^B!3 z3MD*gT~JW4adz6LG{i?*(@oyI_CcOxM^SY6`&ioCQqCf8b?v)`<-x9j71RP(jOg3b z?}*HAr$w?D5Yl!$t%G5KX>l@D@Wh6sk{k#cUbv{ zYqY@h_d&bdo=?AV?W4q_?EMdxg*Yv5F!d8!RHhQNnlt0zdCQA}mFF%l_r7D=@ap=B z1DPr+UC~oQUNZeyu5)Yo*|JZXpZ2GoIG#VN-Z6gRg8LJ-58as%_?5F(<5+#~qXrrF zg)7pz_CBbUTWFSDa=)JWhp_)e-X$-L4~2_9lr&*J|2KE$_M#h+qAO)OZtK-QUom}! z2wUob!)XWZ3pDR^%QRgqe4+Z#BBd<1YkQX&DrkG0_`3bY;kF0wq#pA(iB(oNo@gk@ zUgN^@^n_|X|M&jxRS&0Je?2Yi)DKa2FSobs!TstJHnIv{ZT~TwdDFxHBBz6N3=39n zJ#%<%g9~@a(%X{^A}8jTo~dDOT>1ag)1NWy|BbbRFP!cAVEKflw2Q};aaLlG)8B(1 zDhw=gJr??ZyXp|KuH(u&cgFdNHLORj@9h*+)|{BJ%&?(OcYnQ$*Z1NFdCjawFP%P~ z$UWL|+SB5=AP;JsRynXU7swm*?@WF_uWDD-=&QU1^0!t$aB4S26WJ?u5(lKU8HUT<@ReKViYE`l1tZE=?-ZedhX_>+S;_ydZ7iU|^6y(!;>8U3{{GxYXnm5jIe+*B{O$r}UZCMQI5f%PFr01t}3Cb!95(NbVV f%bY%VL@+WiTwrHl5Jgc`?KSyGv^txZ4@eXM4b7N= delta 21162 zcmZ6Sb9g1+((i)_CdS0JjfrjBb|#o`;&g1=wrxyo+qN^Y=H_?Kx$k@K-T!p&de&3D z*IK*2^{MLa-5k)HW>9zqY49)KKtP~DKCZX-CQ~iseZORRcB?QoYA3o9*tj85MXl z#THwmI=?FETi3b|G&nTZ;D(((4M|vRnqK=C+Rs!C2eCsHmrta1>mWE|$9ou?>3qMpttLZ^;q&WeU<=O;G%K0LoqgFu>qJY)}4?EWD#(ssA)Ma;l z#^C2%Oo;V;8NqZ+XwBLIxFf&l2$$8~*^T$r%)M)O-}qq47mw(A4Og!ci^C6LQI>dT zJd{Y6yPu*bb>TPaj}B~AVCGv*E$3;a5x;LAyCzbd>bj2Hq)R$_BhHz0D#}DnAGnEl zy%aqGYz<_1l-0?@HrVY zQ#;ncR%9`w=b5}Ypz3)sc}Q3_G%yK#DenRBBa>cvzL=)ShD7g1HTvUA$W6q8jf0z| zFFmjKSnd0#A)AYjkjJs1)ZQOg4D*L#8hnsfpnklYt098ZrV?=lbNCQsg(#@KaFxsD z@?o0>LttRbqX`0=8rNK}Co_(BE*=#e0m8VV?>h&lw#YsfxUi`vqfx9TKC=HPIommK zeZDbXPaj^m^3yi(k>dV0aYbZ5etng)~q9@;*o3$*c9{LVF5zz1-B8;`Ct>B z$G3>&&E>EH$1%3b%w-g2gOmi_Ju5vxjy!ei`pzq5Np@tF_0pz7jyn@n;>x=*=U5t8)=^z$YVutMlOlEk8u( zKF>JuwP{p6ky;Frvtc_Njd^aF7cX~cW+Vx2q~VDQ*S3VhGwJ*XKRVvtjpX9i`-Y%SRLzE@5+kNm-%(!t42 zt$FS^cO{=QfpTzi`Q1|3E<^}4Cc7oegLTcL{9T4fIY`IZFSA;QALdNbWDfzO zGxbxWeIbgwS!dg41s^L5_X=$%l6_XCssv4i`hU}qzSrd)DOVkCT_vZ z_&cTtI0$%25s#9;A1nh5*6VxT-y`R2-J{#XtMPuJdRXW#L+jiO|H)f6^|d+-`l9}0 zc4al-pmn>g{)BU`tr?%vbLa-`2_q5TWtl|P3u}^3O1w!t&zYc?v%|Uo&UQdhovswS zWtERB@kR7uW~I2F&-9_R2C;YB27Jnc3D)Ezh|@R zRfb#g)YBh+ua}c|b8@Z}XVE~LQ@ueSKu_se>}QXNVIlq-F*M>D;mU*la|AXI<9lM# zLRo}@Vnc&FJUdeGoJ=eOwmOU`yvtPgR}Rh(Pwk3Li=z$DmP7vSo)0!6!8=)IzavIs zcAnUt7yB`EKsLacR)bN_2h!-a3F~%XFSxmy=C4hjJ^y6c4rj)OyR+bwQ_o90{k7jc z7|)yEeURp@=rK*F-)0xd8#ss8h7i{Id((C4yq`L($zdfK)%_S%nR&p&Tlu=BF0|M& z-LZhvrfHmK?DNr~vO^VIw?V=^D`&kUkpzZyQU@dsaHlieMs+)|B`Nl{pl0(Mm5$}( zHeWx5!PW5Tj>w7}{LbWs-9d5qy%@rJ+GgL#`!U-N)O^4c*?e@ubw!HLGkj_D+!QkhDi(KUS>5l@B}XqsE?ZKk$vJ@w<=nvlFF4LxU%2 z^(G-~49@26Ttj&?tOzIX=+?mgOE{5^I6<(AdA37-rk&f83Wgt&+ddITlZ6i3rt?Bf zNZ^5?-hA)f{gJJm(c>F2crB1w@0WR{Mv4Cy*no*ND|~wBe-^h!MqpVcdW(=NoVqs9 z-AdfWkTR>kN1O{T)k?=u_mlNmGyr!yVO8EA<^Nt)9h|&$ug0B^7HREuH?jTJWY2=D zr{B{aT77N(K(V9ImM${m%OK-sdN?oKQnur3z$%jm$vxg>8ln?hvtYaKi4+5L9c;z{ zgoIPg$6r5kCpUe+jO&NZUoJt}fWA7@J@O`&o&NoR-5eC(z8uhIFkM@kAqlY4UX&7Y z8zKEc$dGCjqT@C)IdH&O&PsL`nH&bw-M?zdX!{HM+SL~>^EN2`^0`^L(w+C$wl2Do zBHGvFQ(D2k&e0!zaL;+yrkSSa{+oe5fS|pd*GvGg{|H5d(GKq`L(*SzY7 z_y$-0#rP;8UshkP_VhPDj{@!4+Xm8ZXUHe_oV(67Q8^6kMBg74YwHXjr*_EzmT-_> z(oCLd@3EMOD1E26N$}kcX=~>r8bhnw`39V~T(*QTMc5?zxVVcD`p7ET+f;L?-b)QD z(|lGfPY;gx{03mGEtiH*j#(J8Pr?i?@d_}-Z`~Z+K~|L|Wf7wi4eOBV(#&PVaPD^2 zx|aC7R^1yG`Uv!GV9YghmYaS+&(>|_wPUu=rXqJkRNPhp0uQK$#xAO_6ogYyZ4pa0 zuBQj$d*&kaHVL6ViBHY#0}SY>MWBFq#BWjBRd5BX8sDdMv#^XCmuY;M;caU~I8(;V z7dM0BBj4PHTC<2wN_W>+z~r8!X{OFiSRPU$H=^VII_~8_MZG>GcS8)&Utn_%;nN8p z-2Dtym48C@MsxUtQrJuT>S1zCeJS}m7n_g5j75>Ef%oaJZtYNS!?-b9i&+7&rm8*G z2-*zF9^J9R)%vPooDQ=4GCp)F0l~p`@pbsqy`JXWW>@qNbbNoX4w81DhW@MlZuLY!6pflJrxXFqBbKa)F2s{XfcXdEBqk1%++be=$^v z@DvaK9-20Nigzbq5rnP&6CFqGf>VcpMlocfg zVl%?_D?oKG!N-*Q?rKz#$@X%W5@B@^AIDG;0CK_eP+#BAFuShV7;avoIvbXhWP~Ne zeh9uhZDNdn|49s$w~pYyALgDpX~>}Baj+~9LNxL*i<4$UkF zw9PcYKiI4;0C-Q+rnm!_8n=_NY@qJ=Gk&zdFvmiU;7qbu{8=Joy%Q)%LqRtr8h(Vq zfq>}bfPnnFkb#DV{-==P1NpBH%;&kIlbf}vBfYDQ^@iqJ)EYaI*OfZ-DzSynXhMfN zw-rNJksu7IV2t#ZWoX=rLY@Gi^uQJUp5?9DT_CI!M<#tsy&^kj0#1tTX6EW@CV|kp z^u3wNkzGV$v_23=#&d~CbC@KJ4EFK8-F!3AqyB9tZHNheglE?jtr0n^;U zmK(#CsE%_eZqzMEnfQo0<4=Z3;!HKp!;ym5L$9kDJllNNS`9Yt9yAqpX*Zf5cxE}MdQ4yt#y*^~&0$Hx#CHIUl3~WnunuB(*JbN=l9K;{;YE1~osbSQE2& z5%!!(`O9mn5KmY!aTvw*4t+T|`Jr7)KL@Fvh!A=5(l6tZbiCI}GX0ir=rBP>je0b~ zLhI(AKhsX}8Hlg|Q^-;=>9acS$)S$L%Ir#+PA&34&UkYyW;7!tj(${F7mZjJLn|n+KDEH9_CBz0#ce z5;@eqXOz15R>y&rW*GMCoTgQ~1FapgUDtyH=n4I|z}ewn04R3H^?G=T3dfvk96x9A ziXKJhVB4=CbDA+@K64Ifm<$1&5gFm(aG9@!_aBuW7RNoOeJh4V*fIyjex5q&yoG&b zdrRuN%`Z+S`wuO9*bPO&RcBRO`l-L~D7!kEAVf698+r}c+z#5I2#epOEDvX7V!xad zrzwybb~A(D15XuR0f9&g50@`NFmLrs-fZFU<~4ICX^NqKExZW7PQvQLWVwydnvfe0 zqSWKXaKny&FF7hrA1tScRa1_k|lI^dH6@s=P@q63G2(ciG%9&j(-C=LLc^AyqPI9q>D=JFnTYn?=cq$`@VQ~|A4QVm)KxH1 zY|PCL==x9&pBE5ljf;^Rbs~SW*`NQb-qh}h3x8=~DjsHfA#{1hI#4tli39llo|*K2eG7xpo(k8 zMdnu>nKPWBDO$FR@-+5DI;{q3kvvjS6zV(xI#8)pqB(&*R85IgC~&w-UuS0&r4GGL zBraiEum0_5+tcO1bEsYg` zaqDAZofB;B=mbfSX&W!?Jw-;SMxFam;q6qMuuT%Vtv2_KRYulk8;qRrTD-G)v4k*E zqiuKKu25h-L6l6KbvsUhsrz6t$J_icr}}Zb;WxUab50~v>HZ$8i)fNo?$S*;IUzg? zDZuc>5k4@QQ1%|3Gu-HEvaASVbPq*y zQAa@W!;nl|qu}n8XzA+F*yrysoo^a-Y_GO(9arY~k&9wrcW_fS1!Y-&x%#(oF3Y05 z-CbrlhNKvybPt$C{sQ~Zu9CSEf9Xi05z#fPn6$s!u=8hry5cFf`ax_!CY>C(xh}hE zrJ#p*>8;$zu4qwU)Rt8ft_$|A6+&gMDomTl`;(1znYpXfwdo_UM$HpYj(U?sI~02i z&fA8SM;CEgaPwpLZxT0Dglm&a9WG>^Cr7s8yqu2|Gh&w(ZvO_6r$O{Qofsq1Fk3=BxkCSW5%F`7ul12sxlj28m<9QTVTaofr+ zeuTV7Ym;k-#FxvPf1{xvbK>o$q`6AfFQ6|IeyYGufp>PA2ApJFnlYL*aq%T`JX<># ze!8jjr2Dd`9jGrf4R)jxe7}A9Q}7Wyc&9I{Ey48SFhBOg_LpY(bt;&AyEw&zAtAQh59L1d{fH%(}}-(fGi&o z*~Ox}^hS;S>H7vlsLM+UN=j{RlD|4m&RvG27bv$74&xtl ztCFUh5Y0o6$)pzAbSW*}%6v~ho(Jce;ydch*YC)FjD0@`S~NE-OUdT%xxa4z?s|-2 z)QvxN|II`gKTS_V$}fP=^}20C!y5Ce)T-KD0iH_cbZMeJ6ge)AEJmA)vcXi!!x2hM zMeLjO>($TJLW}ysj*3wbwp808U+)~$Egqz2t9y#NeI!n>`RAAGhqwoIvY^?UYt-!e z%gQybv_}GF;Aj(E`1rfe4U~{=nN9z2$;dYMSeA;h^IH+z61qJ$y=TM+X`o0@2_9W$ z#9sm}-Ep%g*R6B7*`J0WIkW0 zYQ$pe%RfXoyCs(O&oL`ljYZ0K(wOaFKYN1uItHr5@wCb%@v}uvzImPX7%J@iLtwx9 zXEwhVF#n5!q*lfIM}x1%P`F>k5IC3|o@N1+NEPS4S$xyQVbBb!>ra11Ox!F;o6ySAMP|hfVVvvumyQUZIr+G`H7PJ@Sg|4~= z^xm)ON{x-eLl|>MfsC$T_~3>+e+BG7_PF>|fzH-m?!UJg>Jxff*Cy$`JW~gUL z?CO))CawX>Aatv~w(O#L=pa{XhVL- zN0&*Pc9h1g*+-2_+=C&)LZkWPCd!wpjeFv)=GJXply-OXhQ=lq&9ZU%Z|GL7EoUpM zvZ}~|)m27qm-vHY>JbgoN~pcwo?2_UK*XtzNl0hl6LGa$>XDaXc@YpSbKCTLqSdU` zwSy<<_G2nhZU$9O)GiZS{s`Q43yr9AS|z=lX-}1%D*AC_ha%91hM_c;Z9cB|_7Zj* zG|KYC5@W51New=KtaK(~ptRP!Xwcz`-mI%LX}lAe#{6a|b(9}Tn>B2hrJbJ~C`0vg zPloa%TuBw>Q9kO+r_m@D$9eAYMJ)Bb6P?#|UO*CCH+j~fnvzb$%Wsr@|8w;!JiSzp zFj|EqXXv1Hvc$Sy6{Q`9*G#xr?L1z@KS_I<(@j6dCI9k5fQNtGN9CF*^`nf=Z9^b2 zW8aiYy#4$k{h0rVSarxtc*s))(9W97cB%*>2*R~`k`ZQ-CY~s zeCn?meYNY7cJqzx`d&RHRUiLb<<kK52g2L3X~8z#+#dD;hRKupFe?>YKJ zv!C$ca)DrO;b+fJv^k$GFr>M+FNFv19x#fkU}JhuM4j)yd0)Xc2AswsRi(2&J7Eg% zppX7O1Yb^MZLj6x=^QUfbzc;2dic0UMeej^OR}dtx8iG%-JMq>_gAZwN-R6WdQ#!mN8ihn zRlZm+^2I7W<<+J#TX^p?8%vHib3@(19n2j#k2NinN_Dte){;Fb?mHf8Uo=Y^T7mGu zzz5y5Ti@a?u|?rd-|0D;ez{Q^2@K1NEf@>7?fCh#JL*7DRYIY2 z+xLmWfO2_TC}~hTxd{sFnIa<63}0ZHvr-0Y<`2#p92F<4WLNisqoY>W{(Cc`gRxYG zft#Ohi=oD%vNHyC5^l_5GJ!l)c)=+dOF(b$3SG@UQe->Unf#I*Qr{$jyt&Gtd0o2O z-}bvRb1s%!iC;Hj5};MZs+N4dejHHG8hqhD!{P2moS#x};&Hz9v1jIs8uQ?haT2T9IwmN18kOZ<)5dmBzBSU z*oFD8%n72SNtU0pJj$0xy2$XtE^HPv3}IrfH?0{8!p=!`0C}nk>r&@t%^QeNyNMV1 z-?jH?hrPer6C@LWVdrgmY^#v3&Wo935KoTBSt@$rlXaLk+OT zBP!6~On-Tq0Sw05y(1~EK!RqejIFtSwnU-8ZJz=mAtNEA#Lc88TKWiMUil)0(gHIS zgy5dgvGBQ{oNYYx{2*KMdkK|;-Lfs6lv=pGRF)%4Z(gL;jZOE`uvo-!Svg3^m^%5- zSmuQ0^@HqB(F1xMH#cV$Px}l_KmB9twK%C+O=f1k17xy)wwvAY{#26Ni3zrUC9>Zs zm=CBn#b=4>k+j^NGQsz#cW!Dcs1*j@z>jRZTLt(P_}6@p4Xw}#p5Zt}DpU0I<@Adt zb-8zO*F#A}%J}fxu5)YlBfjXy%4|N2@AU@n1&3q3vk=P-?7e}v5ksCpoKNI46kHVX zmlS0+0;X3T)UQwzEnvq6CSnk_co2=>py*0}kFF7K{WzqY9>EiSE$rf3ig>8`6Nh*4 z2(~I;gYgAOk$#j+)Mzs{aH$PawV&Gf!tkXy+Vtl>?Ht0I`b2exc1 zJ-qG%Csx{PYoHoBdFE)Y_c4e<@;AH=uhzhZ#=)bdz3A{8|Q0_h3VR){XM*mLV##4pw#wGnesPo+jG-%XHvub@H2Ci ze8K8G?hD^)qtVuf10D=kw0|c1SN9Qy=r~eaEZDr>Ijh~i5ygBYgc?fdbH4J1_I^81rHnO%+(2 zTo^lYNZdbAL+@CfZ986X#t3s>c@m<{;uz)9qRF=aVai4f z#GON1Gr*?(VrG(O*hUyKRwH)F;1qnRT=r1+r{o6 zJZsd%%O>YtrncC9hZu1Y7fAzY79}XUIJl5g)~9+149>Bn$GW<#krhgz1(?Y_yD0B4 zY+@pD$^7zUR2cqNGg8z*}wn09%XtXYif=vMz@(-))ZLrVw{5IpOI$+*CO{QJ1l#z zH2D>)E!q#AhkVus>|YMgGvmSEgs6@`T0N~TepdcSm|3p0q6Fd@Y+nkO_@G!fT=n-f)IxwSj{+*~m$)A#Uou6(T_oIq? zT4$N%8XvrBVkamDM2dc@_Pc=a0#E$VSC9W`|x+fLR>_AN}y`-=oopQ~1&AV5}G* zK7T>~nZrMO7-{Q85A6Ya-E@tdk!v#F3z7ya>#F?()33n%X;K0liQX++ zSlPk;%jOt6iw)gD=ZQG26O%S3<9z)b`xi6~wm-iW#7-jciFbeBr;t8zA;SRPN#w(4 ze!1czzC-OyaT~}8SCx(of&s@vE+mO#h=vV&1#e%mc@%a;%OSai=mjroRlvC_vaxar z$0vpR3Ccx0+D;Z-_@8|E`88YM!rTdh)F_{hykEO;$U~u-1=40f`vziTFf&VG!P=@nHy86$?>+~SDV7|s z49VfVRJ1F!$9d`K?M~yAn_ZFY2uKZg!A|QC>fyy^+R+_65kxPuh28EP)i|IM6Hjq{ zA;8LHkq$+)E%)a4ITQ*OcN8SoF`fb%s(I36fCN_g7VD2QIfUz}&wmBp|EmP~+7p4g z`;bpMPf$6nznzL(EhMe8P1^f}Fg{IR|7~(D(_E_X#KnN|q<{PJIit@o+7HM@K)G(c z#`#>0wj~`(Te&bGh`s`Z4}%ZPg5K$Kgh6&V0QxxsL%=Z?+>`z@`Hsu~xB6_)A`g8h z(8aQY$(N{7f7rk`A{|{0)$uaej5zy9R=Uq3pCKcAmQ)V-Xwwj1D&MV)PRlPWt=m76 zo)tfr#imZhG1By7_W-_^El zdGWp%Z8N0f}yTQA1wkK zJ-0=pIrhvh2EnhmdH&)GO-bIrSY6%r7KZY~@XQ}7p?;WPh?PomQkWd`!DwA;BvJ-f zdv6H^igfeQ*eL30e5UXqDBj=0555bfW7?WPAIwb@ceAx+I!D^;)&qkNO;I78x|WF8 ztL|xx6J{!ov#H%W$9qg41)+m#)R39Y5>YRPqk&|o(wdu_cGc+qgdAnzmFwDG)vi!_#hIEmx`$@7q^8PRanOX)A ziFjvwM(AE>K`AsAM4!d)*o_%511q6ExHLjg1=JteR~EuGMNJV z&v~G7dUiXg-m#VvwU0JoVd+Sq{@#dK^FWc=V= zEClWse7N()Mg7yszcl_|Dwup|q=(=31p0pFN~fFB0^)_cz2WyMcLu|3#eQmNh&T^O z)yxY2PKeMJe4wt)|LB|RDc!$_Fq}p5aqvfk3e}Chl(!ut}xP^ZA}~jiC0EWC&!2VOh84b#z`_c!qTjU2^mUHHFsu`3+AO zETbsUoJ}PoRr+EwXBKZw7Ki05#GM+UR|m!GV51L-MO3`7(R58PNf3!Jz|=EE~FePs>hpLeLgCZlgJU-Nng#BWZVf#zH3HWv5j#->*a9@Y3U` z=zuOJxTTAHpt+36Xcj}fi39${Xfi+m*Hg#Jn5VX5EUra^cS3gi20K`jsD;kFT77r% z0OXW6y|iuK@so`>DZ02b8V;!=vmaoBVLKtp-9m`s{jFAl#siw+*ij07LZrbsol4;Y zTA=!tHw~7x-*^oR@gdFaX3oHgq9Rt*Wk9|TwxtQ|KadOj5BRm5*-A1u`)g@?!aOQ~ zU8$X2Y)hVDiz8UL!%9l8RBl22Xt~DVdA{{=f%QWxM3y#IXRA&-209*UJ>W|k2h^|k z$G|t~T>Y&9O`alMOjxSr!E;%tCCd*;*Jcnu$ZOl$PVG~i>W$ORc(6ANg?~8$*#?0B z1#Sy^FwsAqr1V~2Ea>UJtI@fgHm^O)PIq|5T?Bb)|JK3h@2R5bUljK_^b64Opda6W zu=q^2?IGW^2|n>GpvQm;kCeK!whK$CA#w$_YR*&i=yf;UA|CCN3VOG{!XW$hu%%_& zd)n=N*#?7M$kYj+6$d_9ppX5&g6<7-Q``K@)8lqR>pk-S$9LQ9Qgw@>t882XAt!r2 z*rJS2RdE$W%{(lt$xkq9hH4C@8pwgl4cgsMDf%zeIbz6gUFD=!$8_n)?chX3^58$d z_56OEW zjDWdA&Qs?3A4%4s6NtZ>hOYOj7#@EsdT(?5WP5=~VlD%E#Q(tOzl7=EkxnwGl>r;o zy*B&sGMhS!4o_3e6gEG#5J_(Tyki!ZvdO3ZyBUOw7%n;L$ z18~+SJZ-C;K0+RcIJr9a`-VasUhj?OkK8#+{s|a6>~yg80idUmPkdT={+qV#WJuyG zBE6DFS$Ys?wLQO-DE80mEXSWlLb?vt^~reTi@Zy=wWxJ&f3Y%H*+u@9h_Ww?!2gt@ayeiQ<LryXoO|K>OSdcIEeXG4L@)4$^P!%f1KB8iK|FuOw#@t zOz*wjxu%@?k@_1)qv;7sA-^R3B5xc_2Lz-W^sx97rvJ5DA%NxS5o}hzzv?4~IL`JK zu1+KM0b0yg^K3o2dB_n*xYVW<~k3Cpnvf^txir9NzR0$m> z4cO$KlMBAf*#e_py$Ud%L30m0MW~$$r(kPe6OLCE}pRVk9A ztgJ+WvPW2ctz0$?6bIJGzZmb`FZbmzI0b;|bzYHRs2tgx4X4toL+_zI0tMRri(wje zXcqUJ?mWj*dy}~vj$^xfe!uqy?5p?jk!)#uOS9W%!R&c@q-E0Sq~vSy=GRQe#f#qr zKaO`kY zKpl|#t~s48F!&BIrs5tF6Btj%d zQ5Edy--#t-xP~096X*FiOQCHE+BUw@?RnPMTJSO~9Rkbp1u%Y92 zIu%_xxL!&gYd{#+RT1@2JNB|?YTulK%YGqsk`i>kVxv%dHB&9qf^YHun+bV#z|B#* zQ@+)#Kr{nXPf2MfbOz+``5kW~CsY<@ToJYxrfD6{x0z+&}KFVer=E^jrlYO@vwvoG3j#j{XsQ<5~k8{64p84&O%At6U zJELz4`GX|@!GQIEg^wnXwyc-jGRp!q`fev#4|{SOc8d|tRWq$5E?alWbbK^W5C>+H zw~uh751Q}56&m0jnngVm?>{0dbVc9C($MYZz0*5OO#Z>>g1Dj*g|0JhlC{gq-+_!R zci>^Sk|)8iDc}vaF_%`{WX4FA7kw2!-cG<}$8)j(Fb}4KT%V`bJCopbc>v(hn+ZA} zBS!9=rR)1n?wFBt^3*R0-?Sg6R9g7P!wlWkx%12PTa-&(nA1qOa{)V6Q|7bDO#Pa# z3{33l{djD-49BmgW+cDNr;hT?ZzozjC>eu3CpwY(fTf3K;OY9{dPlTP($&(j@k7KU>Dw^lCe`tYh}Sy}vy)X$I6s;!c) zuPI}%vdBqG3dbLs73Y-IjrraiD{l(_i#q>mvZJ*N&;Y`80}?j!*#0VUJQ&VmHgi)G z4M~334As}Ph{KQgARo*xa5|fnljb;zr#TaJ>OY0d_IcA!u9R(Uu^3(`7vBT+^b%%A z7DblAZ1Inr?6;xKCi^$4M3v3#)c*8MkapE9DCa&xVbHtox^VPjw&7efS@lP$w0l>4 zB``tn{sIJ}R4-&Qx#=k#fxTtZtzwl#A0eCmrMa`%R|}G0gSLszdO^-5g`ZbW-9%D; zTls-htRT zi^cQCcP=SLBaEq;&}2^Prxcfkr@6n5^{aBSCLhURBWK>cNFP-9G(_igJNqtD!!o=^ zlG z$3Fr^@_tu4c^&KIgf{<{t|2ds9ZkTR`NVTvgn!AL4K z*|d8}Z@65fg5iH7;5}nq9*qIeIxo=!79R*D zaOqklQ;OGVo@b80o``asL=w0pgnx_({0R_7hj0d-p|Fl-Na?xDv--a`hvb zqp3|8H5nbqWUwGKj)D;J(@fB;f8}==i}5JetLG1J&oFt@Pl<6d6vCi*0|z0v_IB|O zGFfhCCjFn*DKKr+_CC{AOP{P7B<0*xIzF{}p0}vkMMJrJPJt_OafF#|pxTyZ?8b~z zSk8hmD0})=o^dkJhGX>`!;-)oyh}5GxDXifA2;=eIz>w$>zDNedm)G#Gv{iDD_#!Y z>QI`pX#cEC^*L{2rmN?KPU%P7Vj*RN4=X&EdGbjZfc>(G2d_Cas;kL!>UYYr$y%P; zc`U5Gm`G_RIEExX=wrUV?^fTO8xBO)U3i^F^zi3gNya(N&v)Km$5JM%PWQxR<1?`? zIf@lMlSmN13X6MsP+DAq%M6exc01qErI5KL?ln!GC=-pW#THI0SH=Y_ahV>@APm@P^QT{t#3R09XX4MTYc?bX+5v;2 zrm3NV7rG6R@Nt}2J?j4~xKUaThW)GL7l-_=sYzkJb2iz4gRerE8889|d3=M9pS2GV zC$^8fW-VJAcz@tEkYvT+&TCVuswjw0*Ba#Afj$?k8(U=V~9 z0L(?~`PfysWc~+Iq~eb{$D?uBrEM%hx^nbtvuDA<=0m8v5wI1R3ivRR*EfIkKVM=b z8>lfr;7;DEDBv0aUY2ZRI0s8v9DlupbV}+LJnw}e6(_NZ2>sqOlgoa@H7VTxvs@FA zfIT`gjdAcm#EyiFVkB=o-qyVQhUvh zP2BSEc~eV{bm&ee#Ivhr*ucH=Jv*62jAfSGnNL`odUfInu>L5CzLw1nCp!A75rw>Q z_nrGBm-VNM^)xaw^z%1fvMm`io@wv|RD59?W!=eqA!5BYsPGBIJlF{cUON3OXG!Z@ z&w1K+%36B!!nMuo@uPI1V-W`#MkRM+=n3xVfVL%?ZAKI`tJ(MdVGHSjDfdpW$!0Hl z`IXkUni||cKvMi&3>c!XM_fMhU^g>2XkLc+H5Y>mwV^C~# z)!fbw3#wI`FIC8R!@Sw@Zi%4{Ld%+UzihnKW~qvHzBOamXQ~NlQiJfrBuoWr4UHVQ z>@B9g1X3uiNFpZp2!B4{Cg9>1hP0%R72tEBOOXDZ6xiNA88rH{r^oaLTi7ZA$0{N_ zHpTw29GX=qF8K3R0G)x2i>D8RH#?dpTF`tKhfc+d)%C$q(*f0V7=|G*VxiuA`m&g5 z)|glcD?Zm+df+%iQ%WH3?Z#lgV!8EAMrrTcV=K2w?HIA z!>H&$V@}?R2>r-7@`Q~)jwTL@g<_jNOh&4LuHBV_9+n&#YNYO^yRm?Bq-96^`yBp? z|Jk|7ffeZD0m(u9-`hp5P4gnjk8ptSud0h|8%{K}EKC^v2))SwTegC^`dj}(`QTu@ zSAh%bAF)mP*&PPZTV8C6HOJ@V?>hhYF`Bo8ItX3dgmRT7c@B%_Pj$m}`&sIE>Mw#- zNQJXqQg}7}Q33`3brKIt#Ui0?NcF396$9sEUMw8)Z(NkK>u9bl z+kjh)-(*7L)Ay7Iu$9)v(ksbn_DcySKad;t*5JGlu%SIsVQaSefEWfL2q%{2;u~sK;D#_`>X8 zl39M8hDMOOjju!#Br&qTC@H=#>KOcgb6VtdtG>I8{1BecGeB6e*x{u`9o!xGj$F4^ znRZ=r5BDpgRHmBpFE6+nE#rDOr$Wm0t+CE|CAkS?&`;BX1=+}O%gcJ>ecwm#>6@ZD zat4Z_@f1cygxO(KaHUi0N`!Q*u7;I$-XhP*tdYkIaGzRkpy@v2J-Ws&`_(DETfpo5 z2MKlIv!#P&R(B$+Mg=Tax>-*?y6b#kjc;aEfiW=I3Nlri4e!X$Bo3cvz%Ek$;KI7; zSWzHaJiBw5yR^VsJD!6Pw~}zwVkiBMz(bDm-mXjj{KG|~ID@U50z=WedYGdWFhS>C z#=&d7+A-s6D3HV`2xeq3Al{u9x>FXLDR@RpNu@z)3Jl4-eW5a8cuvYj(hB)ga($tu zIfcML@d?X@a0I&VFDQUzJP)vIw4n{P`8Rz|A=I;7ECWaRchZ4;)wg+J5YVN9Lu(au zOLG~sx%GDZ@<<)TM=d>Yd(Fb4xu-B{8us&D=^)jp7rsEGq`AV(2IOpwnU~C+PwIw` zjCoI+SqR8Q`ZXc^c;OuWopp#9=~B||n?4WHvzKhWRX7+5-~nbLBL7@&D|aB5 z9>c(ogI_Klpdx&Rx9vKL2>VIbi|mK9%N2T*Kp2X6Y)32Q07LiQWE%)Ro8~Y`5xF5dnn>Nt(Jh?LAXx&CYp4ll!5Df;w^E>U3=0j_Ix2EZ6{_`J`vK@lk zr671eYTt)n{&(iL~^Zqx}3&3b_@HZ zN9G?k#0!SLjG-l3o82~Q?T;pAjrnnIA_n3dhsVA5cirt7BzhBHB(1nLvIO;1y3=~l zSxBc;8O|n(1YfOdWD2cfQFF1Sjyz;{?_aDlhEiuQ^wLvVLiJWAGO@Cu#v0zf27K`x z4adrpf9zeQ$cNREn+TwKY>!e(-f!r2+wb%KaCe5eNJtXc%x}$~lg(CqQzp#+0(q6X zZ)GL%<~loDNf_br0%Rhw8N&2oIbzo`xb2o2vc(mqhi@Vq?7x~+2WQ3kd;;)Ze-v#gSy%X_OB-e&62zum)&VXu=Cx z4&Sw<;>pveW=!{3Zj2NIaV{XtJb!~ZgW2mBg|@`e%%5vjuz7G4F;%nUP@7jCqGz9! z2GQ##Zll+X*mhWNe1 z_eibxmyh;%Yfl<$q&JGFh&4ouk>$N&eOTHne7e|gXEnm4Hrz7otGW?Z+KElTAwBg( zhr4UlQ;D|8Ce+h;{>zi$0om@Z9MRkic;L3X|JtQfis-)+v@^aK0jNfHYEyK(ftOc$`x+Fi5@+#fH3g#lHn%9NgP1WK^EsD* z=>K|mC#0XgrEsf0JO_lO*EXjJCSbnPU2%wdEt;@5P@|jF^=yrh zf-tEj_i|GDHD#TUW_Lcg)8sy8;x8zkm79;_e^&y4V)Sj$T8Nr2kwaBV$kO|*5#)W0`v0a~jip60cYHQ)U$^7Pir4p_5N5Ix8 z+wTnjdDQ>J+tXLwoX5&CdBMi?RY}_}wOsKa5!BZeI{0WkZcVt5$XilBfs#+O8|@}K z{x&eMUW0)AwXfHHxHsHtkM1~k1G6(EjEDrI(#C9{vHxN4HPr4m7)zj%(>5E$3-~N8 ziWyp~2Gj=c&x?E%e1i?~ax3|3g;mQEL@2`6_m~AupY*uMvYet{t!z9#E z^fXc=A&ppMB4ElsGOhREDs*Vb+k~68GobRxIYEhGB=+QbAHmY04;b5?BV4jUj|k#c z1jXuF3oeL{q31=USzlOR)lHnL*SNpZNiMdY->+XJ7HT<{dq$8G4!Ll?r4<7)e^_yK zIXEQe6|;(YVM5H&U+xA*B^rIkpf~gWZ-q;|AmDH3>R-}lzPN3jR;>qdGJ$Ew4*@X1 ztUv@dzry1<|Mt_Xk$yQgSv<;5GAPkz4=eh2Q}CaVEoJn4{dGLJ8_Aeu49;zG zKzF2k84rE;RP4)w=tkMM`~QL|*fU)FZCuviS|UB)>tfF+&el=l(pMZ;X&inWumr4Z z4dzY+Z?>}|?6wAJ)43Cu*_HJa-?L(`>(bJdV+3xrf9epbIzS;Arkg&^Rj8y_^W!bZ zVanXV$ZsKdW9seji8Zn4)#WMWK142Ls+iN-M+TM9R(C}=kd-|4ZWgv@oPoK71b?I^ zKUb^yEoS!ZgZ_xEsA|iR8$~k*d@Tu&x?jw179vj5H{6f@VJ!ydbwrezH24bXk2Bnb zMw1wCTu3TX_we3mlKxN{d(n0_T6D_lgwN-e-rAFR;N zy6HMRc#nsTQ9A|ez_5gNKai5jAgNd5Gt$wA$vG$-E<36`Zz?HHT5S2=Z8 z;>>1H0UFo2UB-0XP=Bd4DrR~q1#wTYh)Xo}7GwWpFaJRRN1Nv3KvuH7iLN;!yO7lS zbq>nk0MXfc;gD7CB~_0k((E425XNw7C5Cf_X`I!ausdgU0UV5jz%QSDzXuojy9VQ8 z;dFoZ3;&KIMf{2bA;f>jxgmbVg(Fz8XvaiRn&WY!si~@&*jsi=D=%jZ1(xPULLHvE z9&vph8H8C}kte8`j)8HZ_fx^oMwXPM+(R?WV+YpK%^MB^kguI@vvI=j6_Jr+@<_hI z!#4+-D-(@eQ27^UTo=mqA28Zn9P1`8sJtBAt8R)ET-7s6QUyBj9q|r#i zJ9r=Nd38v}IDLA={;XZ-U6D*F6?U6#t`)S`z83S!h0?pKp9wRPpem6?Dh?rrcb5>4UsP1C#e-gI#(++^0=B<1V#31%_IVC=OOvcyoZ)uU; zg>uCBm#=QR`g=TANHYR@>0jK3;-!Xo@}D?e@IdLrA(dMyjqZ?{k_uILGai+5l@~WI zqGYzJYotx3%SE)*umL}t;KTOy-!v7Wj}N0bu4nEx_Rmn5+Q`6`!1p99BdF@s z`IO)P_0eze=EV=h#{8y4BFc8W3Pr((+WsbrWN%c zz4{oET$m^hIh>7DFmD?xl~$?y6s}HE{I(k zq;oKv{BcwPQ=jZd3%H8UGxR!VU^pz&Xti88|D5-#Nqq)GmCSTU2|~8B%)g`*ylQQz z&oqR*Ykc_jgl;dFo~cKl@`eGejQ;8b-I4UO&?|X6W&IIag4nv^TG;#46!OERorH-; z6Ew9HEp67-V}2wX3CzzP+tdMfI&<7V$on$T9=PB=>J2^yKh0-YezU^*_2=4;tf-Bh z%42ZHK-1Wr+eq$*6*q0apwK}ZLww4ze(LpKBP=l%ll$Dv<)}I~?D>pZ zQsh$Ed+eDVh;^<8#xBF*50l?dP8HY+ql-`yAON7u@{a=hZ!eJIK!pUNv-ih!CE+t+ z^}n_uxF&~GU>LC#GuO#Gun(*gi!GpEO=1|zD9 zM8tG}-=2zp$hZLjra#UB061|h%G_s{KW#o$cApmRU)A5Ar6`;~41#;9eBo>e$;!;c z7gTX$FgDzXGR0X_jS4d{LKO#8;W|6yCmedI;*{YmRR6gT+-XONDvk}sfXi0-^>vM?KmQGaLCYVd oLk;&61v(pbmh6U{4iNy*O%4Fi{}BQJP-x*qRJqAAwNG3B2L}nkApigX diff --git a/hardware/pcb/bom/SIMtrace.csv b/hardware/pcb/bom/SIMtrace.csv index 7aaf1a7..9a33dd8 100644 --- a/hardware/pcb/bom/SIMtrace.csv +++ b/hardware/pcb/bom/SIMtrace.csv @@ -8,34 +8,31 @@ 7;"JP1";"PIN_ARRAY_2X1";1;"TEST";;; 8;"BT1";"PIN_ARRAY_2X1";1;"4.5-6V";;; 9;"X1";"Q_49U3HMS";1;"18.432MHz";;; -10;"R18,R17";"SM0603";2;"10k";;; -11;"R16,R2";"SM0603";2;"10K";;; -12;"R14,R13";"SM0603";2;"150R";;; -13;"R12,R22";"SM0603";2;"100k";;; -14;"R11";"SM0603";1;"1k5";;; -15;"R10,R9";"SM0603";2;"27R";;; -16;"R8,R7,R6,R5,R4,R1,R19";"SM0603";7;"100K";;; -17;"R3";"SM0603";1;"1K5";;; -18;"C23,C20,C19,C16,C15,C9,C7,C4,C3,C24";"SM0603";10;"100nF";;; -19;"C22,C21,C14";"SM0603";3;"1uF";;; -20;"C18,C17";"SM0603";2;"10pF";;; -21;"C13,C11";"SM0603";2;"15pF";;; -22;"C12,C1";"SM0603";2;"2.2uF";;; -23;"C10";"SM0603";1;"1nF";;; -24;"C6";"SM0603";1;"10nF";;; -25;"C5";"SM0603";1;"33pF";;; -26;"FB2,FB1";"SM0805";2;"FILTER";;; -27;"D3,D2";"SOD123";2;"1N5819";;; -28;"D1";"SOD123";1;"Schottky";;; -29;"U1";"SOIC8";1;"FLASH";;; -30;"IC3";"TQFP_64";1;"AT91SAM7S";;; -31;"P2";"PIN_ARRAY-6X1";1;"DEBUG";;; -32;"Q2,Q1";"SOT23_BC847";2;"BC847";;; -33;"SW1";"PUSH_BUTTON";1;"RESET";;; -34;"SW2";"PUSH_BUTTON";1;"BOOTLOADER";;; -35;"J1";"USB-MINI-B_UX60";1;"USB";;; -36;"P4";"SIM_AMPHENOL";1;"SIM";;; -37;"IC4";"SSOP20_BDQ";1;"CB3Q3244";;; -38;"R21,R20";"SM0603";2;"10k1%";;; -39;"IC5";"SOT353";1;"FPF2005";;; -40;"IC2";"SOT26";1;"LDO";;; +10;"R18,R17,R16,R2";"SM0603";4;"10K";;; +11;"R14,R13";"SM0603";2;"150R";;; +12;"R12,R8,R7,R6,R5,R4,R1,R22,R19";"SM0603";9;"100K";;; +13;"R11,R3";"SM0603";2;"1K5";;; +14;"R10,R9";"SM0603";2;"27R";;; +15;"C23,C20,C19,C16,C15,C9,C7,C4,C3,C24";"SM0603";10;"100nF";;; +16;"C22,C21,C14";"SM0603";3;"1uF";;; +17;"C18,C17";"SM0603";2;"10pF";;; +18;"C13,C11";"SM0603";2;"15pF";;; +19;"C12,C1";"SM0603";2;"2.2uF";;; +20;"C10";"SM0603";1;"1nF";;; +21;"C6";"SM0603";1;"10nF";;; +22;"C5";"SM0603";1;"33pF";;; +23;"FB2,FB1";"SM0805";2;"FILTER";;; +24;"D3,D2";"SOD123";2;"1N5819";;; +25;"D1";"SOD123";1;"Schottky";;; +26;"U1";"SOIC8";1;"FLASH";;; +27;"IC3";"TQFP_64";1;"AT91SAM7S";;; +28;"P2";"PIN_ARRAY-6X1";1;"DEBUG";;; +29;"Q2,Q1";"SOT23_BC847";2;"BC847";;; +30;"SW1";"PUSH_BUTTON";1;"RESET";;; +31;"SW2";"PUSH_BUTTON";1;"BOOTLOADER";;; +32;"J1";"USB-MINI-B_UX60";1;"USB";;; +33;"P4";"SIM_AMPHENOL";1;"SIM";;; +34;"IC4";"SSOP20_BDQ";1;"CB3Q3244";;; +35;"R21,R20";"SM0603";2;"10k1%";;; +36;"IC5";"SOT353";1;"FPF2005";;; +37;"IC2";"SOT26";1;"AP7332";;;