This repository has been archived on 2022-02-18. You can view files and clone it, but cannot push or open issues or pull requests.
osmo-sdr/fpga/hw-v2/compilation.order

23 lines
800 B
Plaintext

.\src\mt_toolbox\mt_toolbox.vhd
.\src\mt_toolbox\mt_clktools.vhd
.\src\mt_toolbox\mt_synctools.vhd
.\src\mt_filter\mt_filter.vhd
.\src\mt_filter\mt_fil_storage_slow.vhd
.\src\mt_filter\mt_fil_mac_slow.vhd
.\src\mt_filter\mt_fir_symmetric_slow.vhd
.\src\usbrx\usbrx.vhd
.\src\usbrx\filter\usbrx_halfband.vhd
.\src\usbrx\datapath\usbrx_ad7357.vhd
.\src\usbrx\datapath\usbrx_offset.vhd
.\src\usbrx\datapath\usbrx_decimate.vhd
.\src\usbrx\datapath\usbrx_ssc.vhd
.\src\usbrx\toplevel\usbrx_clkgen.vhd
.\src\usbrx\toplevel\usbrx_clkref.vhd
.\src\usbrx\toplevel\usbrx_gpio.vhd
.\src\usbrx\toplevel\usbrx_spi.vhd
.\src\usbrx\toplevel\usbrx_regbank.vhd
.\src\usbrx\toplevel\usbrx_pwm.vhd
.\src\usbrx\toplevel\usbrx_toplevel.vhd
.\src\testbench\tb_filter.vhd
.\src\testbench\tb_usbrx.vhd