From 0a3b86d8f43a6121d0a62acecd804e0d95ac1c57 Mon Sep 17 00:00:00 2001 From: paulc Date: Thu, 15 Nov 2007 22:08:39 +0000 Subject: [PATCH] Directory reorder #1 git-svn-id: http://voip.null.ro/svn/yate@1474 acf43c95-373e-0410-b603-e72c3f656dc1 --- {contrib => clients}/gtk2/.cvsignore | 0 {contrib => clients}/gtk2/Makefile.in | 0 {contrib => clients}/gtk2/gtk2client.cpp | 0 {contrib => clients}/gtk2/gtk2client.h | 0 Doxyfile => docs/Doxyfile | 0 kdoc-filter.sh => docs/docfilter.sh | 0 yate-config.8 => docs/man/yate-config.8 | 0 yate.8 => docs/man/yate.8 | 0 {contrib/ilbc => engine/regex}/.cvsignore | 0 {contrib => engine}/regex/regex.c | 0 {contrib => engine}/regex/regex.h | 0 {tables => engine/tables}/.cvsignore | 0 {tables => engine/tables}/Makefile.tables | 0 {tables => engine/tables}/gen.awk | 0 {tables => engine/tables}/gen.c | 0 {tables => engine/tables}/gen.sh | 0 {contrib/regex => libs/ilbc}/.cvsignore | 0 {contrib => libs}/ilbc/FrameClassify.c | 0 {contrib => libs}/ilbc/FrameClassify.h | 0 {contrib => libs}/ilbc/LPCdecode.c | 0 {contrib => libs}/ilbc/LPCdecode.h | 0 {contrib => libs}/ilbc/LPCencode.c | 0 {contrib => libs}/ilbc/LPCencode.h | 0 {contrib => libs}/ilbc/Makefile.in | 0 {contrib => libs}/ilbc/StateConstructW.c | 0 {contrib => libs}/ilbc/StateConstructW.h | 0 {contrib => libs}/ilbc/StateSearchW.c | 0 {contrib => libs}/ilbc/StateSearchW.h | 0 {contrib => libs}/ilbc/anaFilter.c | 0 {contrib => libs}/ilbc/anaFilter.h | 0 {contrib => libs}/ilbc/constants.c | 0 {contrib => libs}/ilbc/constants.h | 0 {contrib => libs}/ilbc/createCB.c | 0 {contrib => libs}/ilbc/createCB.h | 0 {contrib => libs}/ilbc/doCPLC.c | 0 {contrib => libs}/ilbc/doCPLC.h | 0 {contrib => libs}/ilbc/enhancer.c | 0 {contrib => libs}/ilbc/enhancer.h | 0 {contrib => libs}/ilbc/filter.c | 0 {contrib => libs}/ilbc/filter.h | 0 {contrib => libs}/ilbc/gainquant.c | 0 {contrib => libs}/ilbc/gainquant.h | 0 {contrib => libs}/ilbc/getCBvec.c | 0 {contrib => libs}/ilbc/getCBvec.h | 0 {contrib => libs}/ilbc/helpfun.c | 0 {contrib => libs}/ilbc/helpfun.h | 0 {contrib => libs}/ilbc/hpInput.c | 0 {contrib => libs}/ilbc/hpInput.h | 0 {contrib => libs}/ilbc/hpOutput.c | 0 {contrib => libs}/ilbc/hpOutput.h | 0 {contrib => libs}/ilbc/iCBConstruct.c | 0 {contrib => libs}/ilbc/iCBConstruct.h | 0 {contrib => libs}/ilbc/iCBSearch.c | 0 {contrib => libs}/ilbc/iCBSearch.h | 0 {contrib => libs}/ilbc/iLBC_decode.c | 0 {contrib => libs}/ilbc/iLBC_decode.h | 0 {contrib => libs}/ilbc/iLBC_define.h | 0 {contrib => libs}/ilbc/iLBC_encode.c | 0 {contrib => libs}/ilbc/iLBC_encode.h | 0 {contrib => libs}/ilbc/lsf.c | 0 {contrib => libs}/ilbc/lsf.h | 0 {contrib => libs}/ilbc/packing.c | 0 {contrib => libs}/ilbc/packing.h | 0 {contrib => libs}/ilbc/syntFilter.c | 0 {contrib => libs}/ilbc/syntFilter.h | 0 {contrib => libs}/yiax/.cvsignore | 0 {contrib => libs}/yiax/Makefile.in | 0 {contrib => libs}/yiax/engine.cpp | 0 {contrib => libs}/yiax/frame.cpp | 0 {contrib => libs}/yiax/transaction.cpp | 0 {contrib => libs}/yiax/yateiax.h | 0 {contrib => libs}/yjingle/.cvsignore | 0 {contrib => libs}/yjingle/Makefile.in | 0 {contrib => libs}/yjingle/jbengine.cpp | 0 {contrib => libs}/yjingle/jbstream.cpp | 0 {contrib => libs}/yjingle/jgengine.cpp | 0 {contrib => libs}/yjingle/session.cpp | 0 {contrib => libs}/yjingle/xmlparser.cpp | 0 {contrib => libs}/yjingle/xmlparser.h | 0 {contrib => libs}/yjingle/xmpputils.cpp | 0 {contrib => libs}/yjingle/xmpputils.h | 0 {contrib => libs}/yjingle/yatejabber.h | 0 {contrib => libs}/yjingle/yatejingle.h | 0 {contrib => libs}/ypbx/.cvsignore | 0 {contrib => libs}/ypbx/Makefile.in | 0 {contrib => libs}/ypbx/assist.cpp | 0 {contrib => libs}/ypbx/multiroute.cpp | 0 {contrib => libs}/ypbx/yatepbx.h | 0 {contrib => libs}/yrtp/.cvsignore | 0 {contrib => libs}/yrtp/Makefile.in | 0 {contrib => libs}/yrtp/session.cpp | 0 {contrib => libs}/yrtp/transport.cpp | 0 {contrib => libs}/yrtp/yatertp.h | 0 {contrib => libs}/ysip/.cvsignore | 0 {contrib => libs}/ysip/Makefile.in | 0 {contrib => libs}/ysip/engine.cpp | 0 {contrib => libs}/ysip/message.cpp | 0 {contrib => libs}/ysip/transaction.cpp | 0 {contrib => libs}/ysip/util.cpp | 0 {contrib => libs}/ysip/util.h | 0 {contrib => libs}/ysip/yatesip.h | 0 {contrib => libs}/yss7/.cvsignore | 0 {contrib => libs}/yss7/Makefile.in | 0 {contrib => libs}/yss7/address.cpp | 0 {contrib => libs}/yss7/classes.dia | 0 {contrib => libs}/yss7/engine.cpp | 0 {contrib => libs}/yss7/interface.cpp | 0 {contrib => libs}/yss7/layer2.cpp | 0 {contrib => libs}/yss7/layer3.cpp | 0 {contrib => libs}/yss7/main-ss7test.cpp | 0 {contrib => libs}/yss7/q921.cpp | 0 {contrib => libs}/yss7/q931.cpp | 0 {contrib => libs}/yss7/router.cpp | 0 {contrib => libs}/yss7/run-ss7test | 0 {contrib => libs}/yss7/sccp.cpp | 0 {contrib => libs}/yss7/sigcall.cpp | 0 {contrib => libs}/yss7/sigtran.cpp | 0 {contrib => libs}/yss7/tcap.cpp | 0 {contrib => libs}/yss7/usage.txt | 0 {contrib => libs}/yss7/yatess7.h | 0 {contrib => libs}/yxml/.cvsignore | 0 {contrib => libs}/yxml/Makefile.in | 0 {contrib => libs}/yxml/tinystr.cpp | 0 {contrib => libs}/yxml/tinystr.h | 0 {contrib => libs}/yxml/tinyxml.cpp | 0 {contrib => libs}/yxml/tinyxml.h | 0 {contrib => libs}/yxml/tinyxmlparser.cpp | 0 modules/{ => client}/alsachan.cpp | 0 modules/{ => client}/dsoundchan.cpp | 0 modules/{ => client}/osschan.cpp | 0 modules/{ => server}/accfile.cpp | 0 modules/{ => server}/dbpbx.cpp | 0 modules/{ => server}/mysqldb.cpp | 0 modules/{ => server}/park.cpp | 0 modules/{ => server}/pbxassist.cpp | 0 modules/{ => server}/pgsqldb.cpp | 0 modules/{ => server}/queues.cpp | 0 modules/{ => server}/regfile.cpp | 0 modules/{ => server}/register.cpp | 0 modules/{ => server}/sipfeatures.cpp | 0 modules/{ => server}/yradius.cpp | 0 {test => modules/test}/.cvsignore | 0 {test => modules/test}/Makefile.in | 0 {test => modules/test}/randcall.cpp | 0 {test => modules/test}/test.cpp | 0 {test => modules/test}/test1.cpp | 0 yate.spec.in => packing/rpm/yate.spec.in | 0 {tarballs => packing/tarballs}/.cvsignore | 0 yate.init => packing/yate.init | 0 yate.logrotate => packing/yate.logrotate | 0 yate.url => packing/yate.url | 0 {modules => share}/help/.cvsignore | 0 {modules => share}/help/0.yhlp | 0 {modules => share}/help/1.yhlp | 0 {modules => share}/help/2.yhlp | 0 {modules => share}/help/3.yhlp | 0 {modules => share}/help/4.yhlp | 0 {modules => share}/help/99.yhlp | 0 {modules => share}/help/Makefile.in | 0 {scripts => share/scripts}/.cvsignore | 0 {scripts => share/scripts}/Makefile.in | 0 {scripts => share/scripts}/Yate.pm | 0 {scripts => share/scripts}/detector.php | 0 {scripts => share/scripts}/dtmf1.pl | 0 {scripts => share/scripts}/echo.sh | 0 {scripts => share/scripts}/leavemail.php | 0 {scripts => share/scripts}/libvoicemail.php | 0 {scripts => share/scripts}/libyate.php | 0 {scripts => share/scripts}/libyate.py | 0 {scripts => share/scripts}/libyatechan.php | 0 {scripts => share/scripts}/noise.sh | 0 {scripts => share/scripts}/noroute.pl | 0 {scripts => share/scripts}/overlapped.php | 0 {scripts => share/scripts}/pbxassist.php | 0 {scripts => share/scripts}/play.sh | 0 {scripts => share/scripts}/playrec.php | 0 {scripts => share/scripts}/registration.php | 0 {scripts => share/scripts}/route.php | 0 {scripts => share/scripts}/sipfeatures.php | 0 {scripts => share/scripts}/test.php | 0 {scripts => share/scripts}/test.py | 0 {scripts => share/scripts}/test.sh | 0 {scripts => share/scripts}/voicemail.php | 0 {scripts => share/scripts}/yaypm/INSTALL | 0 {scripts => share/scripts}/yaypm/__init__.py | 0 .../scripts}/yaypm/examples/keyecho.py | 0 .../scripts}/yaypm/examples/keyecho2.py | 0 {scripts => share/scripts}/yaypm/examples/pbx.py | 0 {scripts => share/scripts}/yaypm/flow.py | 0 {scripts => share/scripts}/yaypm/setup.py | 0 {scripts => share/scripts}/yaypm/utils/__init__.py | 0 {scripts => share/scripts}/yaypm/utils/answer.py | 0 {scripts => share/scripts}/yaypm/utils/tester.py | 0 .../scripts}/yaypm/utils/tester/__init__.py | 0 .../scripts}/yaypm/utils/tester/dtmfetc.py | 0 {modules/skin => share/skins}/.cvsignore | 0 {modules/skin => share/skins}/Makefile.in | 0 {modules/skin => share/skins}/default/.cvsignore | 0 .../skin/tabbed => share/skins/default}/book.png | Bin .../skin/tabbed => share/skins/default}/close.png | Bin .../tabbed => share/skins/default}/configure.png | Bin .../skin/tabbed => share/skins/default}/down.png | Bin .../tabbed => share/skins/default}/gtk2client.rc | 0 .../tabbed => share/skins/default}/gtk2client.ui | 0 {modules/skin/tabbed => share/skins/default}/ok.png | Bin .../skin/tabbed => share/skins/default}/phone.png | Bin .../skin/tabbed => share/skins/default}/quest.png | Bin {modules/skin/tabbed => share/skins/default}/up.png | Bin .../skin/tabbed => share/skins/default}/user.png | Bin .../skin/tabbed => share/skins/skinned}/.cvsignore | 0 .../default => share/skins/skinned}/gtk2client.rc | 0 .../default => share/skins/skinned}/gtk2client.ui | 0 .../skin/default => share/skins/skinned}/skin.png | Bin .../skin/default => share/skins/skinned}/skin_g.png | Bin .../skin/default => share/skins/skinned}/skin_h.png | Bin .../skin/default => share/skins/skinned}/skin_n.png | Bin .../skin/default => share/skins/skinned}/skin_p.png | Bin 217 files changed, 0 insertions(+), 0 deletions(-) rename {contrib => clients}/gtk2/.cvsignore (100%) rename {contrib => clients}/gtk2/Makefile.in (100%) rename {contrib => clients}/gtk2/gtk2client.cpp (100%) rename {contrib => clients}/gtk2/gtk2client.h (100%) rename Doxyfile => docs/Doxyfile (100%) rename kdoc-filter.sh => docs/docfilter.sh (100%) rename yate-config.8 => docs/man/yate-config.8 (100%) rename yate.8 => docs/man/yate.8 (100%) rename {contrib/ilbc => engine/regex}/.cvsignore (100%) rename {contrib => engine}/regex/regex.c (100%) rename {contrib => engine}/regex/regex.h (100%) rename {tables => engine/tables}/.cvsignore (100%) rename {tables => engine/tables}/Makefile.tables (100%) rename {tables => engine/tables}/gen.awk (100%) rename {tables => engine/tables}/gen.c (100%) rename {tables => engine/tables}/gen.sh (100%) rename {contrib/regex => libs/ilbc}/.cvsignore (100%) rename {contrib => libs}/ilbc/FrameClassify.c (100%) rename {contrib => libs}/ilbc/FrameClassify.h (100%) rename {contrib => libs}/ilbc/LPCdecode.c (100%) rename {contrib => libs}/ilbc/LPCdecode.h (100%) rename {contrib => libs}/ilbc/LPCencode.c (100%) rename {contrib => libs}/ilbc/LPCencode.h (100%) rename {contrib => libs}/ilbc/Makefile.in (100%) rename {contrib => libs}/ilbc/StateConstructW.c (100%) rename {contrib => libs}/ilbc/StateConstructW.h (100%) rename {contrib => libs}/ilbc/StateSearchW.c (100%) rename {contrib => libs}/ilbc/StateSearchW.h (100%) rename {contrib => libs}/ilbc/anaFilter.c (100%) rename {contrib => libs}/ilbc/anaFilter.h (100%) rename {contrib => libs}/ilbc/constants.c (100%) rename {contrib => libs}/ilbc/constants.h (100%) rename {contrib => libs}/ilbc/createCB.c (100%) rename {contrib => libs}/ilbc/createCB.h (100%) rename {contrib => libs}/ilbc/doCPLC.c (100%) rename {contrib => libs}/ilbc/doCPLC.h (100%) rename {contrib => libs}/ilbc/enhancer.c (100%) rename {contrib => libs}/ilbc/enhancer.h (100%) rename {contrib => libs}/ilbc/filter.c (100%) rename {contrib => libs}/ilbc/filter.h (100%) rename {contrib => libs}/ilbc/gainquant.c (100%) rename {contrib => libs}/ilbc/gainquant.h (100%) rename {contrib => libs}/ilbc/getCBvec.c (100%) rename {contrib => libs}/ilbc/getCBvec.h (100%) rename {contrib => libs}/ilbc/helpfun.c (100%) rename {contrib => libs}/ilbc/helpfun.h (100%) rename {contrib => libs}/ilbc/hpInput.c (100%) rename {contrib => libs}/ilbc/hpInput.h (100%) rename {contrib => libs}/ilbc/hpOutput.c (100%) rename {contrib => libs}/ilbc/hpOutput.h (100%) rename {contrib => libs}/ilbc/iCBConstruct.c (100%) rename {contrib => libs}/ilbc/iCBConstruct.h (100%) rename {contrib => libs}/ilbc/iCBSearch.c (100%) rename {contrib => libs}/ilbc/iCBSearch.h (100%) rename {contrib => libs}/ilbc/iLBC_decode.c (100%) rename {contrib => libs}/ilbc/iLBC_decode.h (100%) rename {contrib => libs}/ilbc/iLBC_define.h (100%) rename {contrib => libs}/ilbc/iLBC_encode.c (100%) rename {contrib => libs}/ilbc/iLBC_encode.h (100%) rename {contrib => libs}/ilbc/lsf.c (100%) rename {contrib => libs}/ilbc/lsf.h (100%) rename {contrib => libs}/ilbc/packing.c (100%) rename {contrib => libs}/ilbc/packing.h (100%) rename {contrib => libs}/ilbc/syntFilter.c (100%) rename {contrib => libs}/ilbc/syntFilter.h (100%) rename {contrib => libs}/yiax/.cvsignore (100%) rename {contrib => libs}/yiax/Makefile.in (100%) rename {contrib => libs}/yiax/engine.cpp (100%) rename {contrib => libs}/yiax/frame.cpp (100%) rename {contrib => libs}/yiax/transaction.cpp (100%) rename {contrib => libs}/yiax/yateiax.h (100%) rename {contrib => libs}/yjingle/.cvsignore (100%) rename {contrib => libs}/yjingle/Makefile.in (100%) rename {contrib => libs}/yjingle/jbengine.cpp (100%) rename {contrib => libs}/yjingle/jbstream.cpp (100%) rename {contrib => libs}/yjingle/jgengine.cpp (100%) rename {contrib => libs}/yjingle/session.cpp (100%) rename {contrib => libs}/yjingle/xmlparser.cpp (100%) rename {contrib => libs}/yjingle/xmlparser.h (100%) rename {contrib => libs}/yjingle/xmpputils.cpp (100%) rename {contrib => libs}/yjingle/xmpputils.h (100%) rename {contrib => libs}/yjingle/yatejabber.h (100%) rename {contrib => libs}/yjingle/yatejingle.h (100%) rename {contrib => libs}/ypbx/.cvsignore (100%) rename {contrib => libs}/ypbx/Makefile.in (100%) rename {contrib => libs}/ypbx/assist.cpp (100%) rename {contrib => libs}/ypbx/multiroute.cpp (100%) rename {contrib => libs}/ypbx/yatepbx.h (100%) rename {contrib => libs}/yrtp/.cvsignore (100%) rename {contrib => libs}/yrtp/Makefile.in (100%) rename {contrib => libs}/yrtp/session.cpp (100%) rename {contrib => libs}/yrtp/transport.cpp (100%) rename {contrib => libs}/yrtp/yatertp.h (100%) rename {contrib => libs}/ysip/.cvsignore (100%) rename {contrib => libs}/ysip/Makefile.in (100%) rename {contrib => libs}/ysip/engine.cpp (100%) rename {contrib => libs}/ysip/message.cpp (100%) rename {contrib => libs}/ysip/transaction.cpp (100%) rename {contrib => libs}/ysip/util.cpp (100%) rename {contrib => libs}/ysip/util.h (100%) rename {contrib => libs}/ysip/yatesip.h (100%) rename {contrib => libs}/yss7/.cvsignore (100%) rename {contrib => libs}/yss7/Makefile.in (100%) rename {contrib => libs}/yss7/address.cpp (100%) rename {contrib => libs}/yss7/classes.dia (100%) rename {contrib => libs}/yss7/engine.cpp (100%) rename {contrib => libs}/yss7/interface.cpp (100%) rename {contrib => libs}/yss7/layer2.cpp (100%) rename {contrib => libs}/yss7/layer3.cpp (100%) rename {contrib => libs}/yss7/main-ss7test.cpp (100%) rename {contrib => libs}/yss7/q921.cpp (100%) rename {contrib => libs}/yss7/q931.cpp (100%) rename {contrib => libs}/yss7/router.cpp (100%) rename {contrib => libs}/yss7/run-ss7test (100%) rename {contrib => libs}/yss7/sccp.cpp (100%) rename {contrib => libs}/yss7/sigcall.cpp (100%) rename {contrib => libs}/yss7/sigtran.cpp (100%) rename {contrib => libs}/yss7/tcap.cpp (100%) rename {contrib => libs}/yss7/usage.txt (100%) rename {contrib => libs}/yss7/yatess7.h (100%) rename {contrib => libs}/yxml/.cvsignore (100%) rename {contrib => libs}/yxml/Makefile.in (100%) rename {contrib => libs}/yxml/tinystr.cpp (100%) rename {contrib => libs}/yxml/tinystr.h (100%) rename {contrib => libs}/yxml/tinyxml.cpp (100%) rename {contrib => libs}/yxml/tinyxml.h (100%) rename {contrib => libs}/yxml/tinyxmlparser.cpp (100%) rename modules/{ => client}/alsachan.cpp (100%) rename modules/{ => client}/dsoundchan.cpp (100%) rename modules/{ => client}/osschan.cpp (100%) rename modules/{ => server}/accfile.cpp (100%) rename modules/{ => server}/dbpbx.cpp (100%) rename modules/{ => server}/mysqldb.cpp (100%) rename modules/{ => server}/park.cpp (100%) rename modules/{ => server}/pbxassist.cpp (100%) rename modules/{ => server}/pgsqldb.cpp (100%) rename modules/{ => server}/queues.cpp (100%) rename modules/{ => server}/regfile.cpp (100%) rename modules/{ => server}/register.cpp (100%) rename modules/{ => server}/sipfeatures.cpp (100%) rename modules/{ => server}/yradius.cpp (100%) rename {test => modules/test}/.cvsignore (100%) rename {test => modules/test}/Makefile.in (100%) rename {test => modules/test}/randcall.cpp (100%) rename {test => modules/test}/test.cpp (100%) rename {test => modules/test}/test1.cpp (100%) rename yate.spec.in => packing/rpm/yate.spec.in (100%) rename {tarballs => packing/tarballs}/.cvsignore (100%) rename yate.init => packing/yate.init (100%) rename yate.logrotate => packing/yate.logrotate (100%) rename yate.url => packing/yate.url (100%) rename {modules => share}/help/.cvsignore (100%) rename {modules => share}/help/0.yhlp (100%) rename {modules => share}/help/1.yhlp (100%) rename {modules => share}/help/2.yhlp (100%) rename {modules => share}/help/3.yhlp (100%) rename {modules => share}/help/4.yhlp (100%) rename {modules => share}/help/99.yhlp (100%) rename {modules => share}/help/Makefile.in (100%) rename {scripts => share/scripts}/.cvsignore (100%) rename {scripts => share/scripts}/Makefile.in (100%) rename {scripts => share/scripts}/Yate.pm (100%) rename {scripts => share/scripts}/detector.php (100%) rename {scripts => share/scripts}/dtmf1.pl (100%) rename {scripts => share/scripts}/echo.sh (100%) rename {scripts => share/scripts}/leavemail.php (100%) rename {scripts => share/scripts}/libvoicemail.php (100%) rename {scripts => share/scripts}/libyate.php (100%) rename {scripts => share/scripts}/libyate.py (100%) rename {scripts => share/scripts}/libyatechan.php (100%) rename {scripts => share/scripts}/noise.sh (100%) rename {scripts => share/scripts}/noroute.pl (100%) rename {scripts => share/scripts}/overlapped.php (100%) rename {scripts => share/scripts}/pbxassist.php (100%) rename {scripts => share/scripts}/play.sh (100%) rename {scripts => share/scripts}/playrec.php (100%) rename {scripts => share/scripts}/registration.php (100%) rename {scripts => share/scripts}/route.php (100%) rename {scripts => share/scripts}/sipfeatures.php (100%) rename {scripts => share/scripts}/test.php (100%) rename {scripts => share/scripts}/test.py (100%) rename {scripts => share/scripts}/test.sh (100%) rename {scripts => share/scripts}/voicemail.php (100%) rename {scripts => share/scripts}/yaypm/INSTALL (100%) rename {scripts => share/scripts}/yaypm/__init__.py (100%) rename {scripts => share/scripts}/yaypm/examples/keyecho.py (100%) rename {scripts => share/scripts}/yaypm/examples/keyecho2.py (100%) rename {scripts => share/scripts}/yaypm/examples/pbx.py (100%) rename {scripts => share/scripts}/yaypm/flow.py (100%) rename {scripts => share/scripts}/yaypm/setup.py (100%) rename {scripts => share/scripts}/yaypm/utils/__init__.py (100%) rename {scripts => share/scripts}/yaypm/utils/answer.py (100%) rename {scripts => share/scripts}/yaypm/utils/tester.py (100%) rename {scripts => share/scripts}/yaypm/utils/tester/__init__.py (100%) rename {scripts => share/scripts}/yaypm/utils/tester/dtmfetc.py (100%) rename {modules/skin => share/skins}/.cvsignore (100%) rename {modules/skin => share/skins}/Makefile.in (100%) rename {modules/skin => share/skins}/default/.cvsignore (100%) rename {modules/skin/tabbed => share/skins/default}/book.png (100%) rename {modules/skin/tabbed => share/skins/default}/close.png (100%) rename {modules/skin/tabbed => share/skins/default}/configure.png (100%) rename {modules/skin/tabbed => share/skins/default}/down.png (100%) rename {modules/skin/tabbed => share/skins/default}/gtk2client.rc (100%) rename {modules/skin/tabbed => share/skins/default}/gtk2client.ui (100%) rename {modules/skin/tabbed => share/skins/default}/ok.png (100%) rename {modules/skin/tabbed => share/skins/default}/phone.png (100%) rename {modules/skin/tabbed => share/skins/default}/quest.png (100%) rename {modules/skin/tabbed => share/skins/default}/up.png (100%) rename {modules/skin/tabbed => share/skins/default}/user.png (100%) rename {modules/skin/tabbed => share/skins/skinned}/.cvsignore (100%) rename {modules/skin/default => share/skins/skinned}/gtk2client.rc (100%) rename {modules/skin/default => share/skins/skinned}/gtk2client.ui (100%) rename {modules/skin/default => share/skins/skinned}/skin.png (100%) rename {modules/skin/default => share/skins/skinned}/skin_g.png (100%) rename {modules/skin/default => share/skins/skinned}/skin_h.png (100%) rename {modules/skin/default => share/skins/skinned}/skin_n.png (100%) rename {modules/skin/default => share/skins/skinned}/skin_p.png (100%) diff --git a/contrib/gtk2/.cvsignore b/clients/gtk2/.cvsignore similarity index 100% rename from contrib/gtk2/.cvsignore rename to clients/gtk2/.cvsignore diff --git a/contrib/gtk2/Makefile.in b/clients/gtk2/Makefile.in similarity index 100% rename from contrib/gtk2/Makefile.in rename to clients/gtk2/Makefile.in diff --git a/contrib/gtk2/gtk2client.cpp b/clients/gtk2/gtk2client.cpp similarity index 100% rename from contrib/gtk2/gtk2client.cpp rename to clients/gtk2/gtk2client.cpp diff --git a/contrib/gtk2/gtk2client.h b/clients/gtk2/gtk2client.h similarity index 100% rename from contrib/gtk2/gtk2client.h rename to clients/gtk2/gtk2client.h diff --git a/Doxyfile b/docs/Doxyfile similarity index 100% rename from Doxyfile rename to docs/Doxyfile diff --git a/kdoc-filter.sh b/docs/docfilter.sh similarity index 100% rename from kdoc-filter.sh rename to docs/docfilter.sh diff --git a/yate-config.8 b/docs/man/yate-config.8 similarity index 100% rename from yate-config.8 rename to docs/man/yate-config.8 diff --git a/yate.8 b/docs/man/yate.8 similarity index 100% rename from yate.8 rename to docs/man/yate.8 diff --git a/contrib/ilbc/.cvsignore b/engine/regex/.cvsignore similarity index 100% rename from contrib/ilbc/.cvsignore rename to engine/regex/.cvsignore diff --git a/contrib/regex/regex.c b/engine/regex/regex.c similarity index 100% rename from contrib/regex/regex.c rename to engine/regex/regex.c diff --git a/contrib/regex/regex.h b/engine/regex/regex.h similarity index 100% rename from contrib/regex/regex.h rename to engine/regex/regex.h diff --git a/tables/.cvsignore b/engine/tables/.cvsignore similarity index 100% rename from tables/.cvsignore rename to engine/tables/.cvsignore diff --git a/tables/Makefile.tables b/engine/tables/Makefile.tables similarity index 100% rename from tables/Makefile.tables rename to engine/tables/Makefile.tables diff --git a/tables/gen.awk b/engine/tables/gen.awk similarity index 100% rename from tables/gen.awk rename to engine/tables/gen.awk diff --git a/tables/gen.c b/engine/tables/gen.c similarity index 100% rename from tables/gen.c rename to engine/tables/gen.c diff --git a/tables/gen.sh b/engine/tables/gen.sh similarity index 100% rename from tables/gen.sh rename to engine/tables/gen.sh diff --git a/contrib/regex/.cvsignore b/libs/ilbc/.cvsignore similarity index 100% rename from contrib/regex/.cvsignore rename to libs/ilbc/.cvsignore diff --git a/contrib/ilbc/FrameClassify.c b/libs/ilbc/FrameClassify.c similarity index 100% rename from contrib/ilbc/FrameClassify.c rename to libs/ilbc/FrameClassify.c diff --git a/contrib/ilbc/FrameClassify.h b/libs/ilbc/FrameClassify.h similarity index 100% rename from contrib/ilbc/FrameClassify.h rename to libs/ilbc/FrameClassify.h diff --git a/contrib/ilbc/LPCdecode.c b/libs/ilbc/LPCdecode.c similarity index 100% rename from contrib/ilbc/LPCdecode.c rename to libs/ilbc/LPCdecode.c diff --git a/contrib/ilbc/LPCdecode.h b/libs/ilbc/LPCdecode.h similarity index 100% rename from contrib/ilbc/LPCdecode.h rename to libs/ilbc/LPCdecode.h diff --git a/contrib/ilbc/LPCencode.c b/libs/ilbc/LPCencode.c similarity index 100% rename from contrib/ilbc/LPCencode.c rename to libs/ilbc/LPCencode.c diff --git a/contrib/ilbc/LPCencode.h b/libs/ilbc/LPCencode.h similarity index 100% rename from contrib/ilbc/LPCencode.h rename to libs/ilbc/LPCencode.h diff --git a/contrib/ilbc/Makefile.in b/libs/ilbc/Makefile.in similarity index 100% rename from contrib/ilbc/Makefile.in rename to libs/ilbc/Makefile.in diff --git a/contrib/ilbc/StateConstructW.c b/libs/ilbc/StateConstructW.c similarity index 100% rename from contrib/ilbc/StateConstructW.c rename to libs/ilbc/StateConstructW.c diff --git a/contrib/ilbc/StateConstructW.h b/libs/ilbc/StateConstructW.h similarity index 100% rename from contrib/ilbc/StateConstructW.h rename to libs/ilbc/StateConstructW.h diff --git a/contrib/ilbc/StateSearchW.c b/libs/ilbc/StateSearchW.c similarity index 100% rename from contrib/ilbc/StateSearchW.c rename to libs/ilbc/StateSearchW.c diff --git a/contrib/ilbc/StateSearchW.h b/libs/ilbc/StateSearchW.h similarity index 100% rename from contrib/ilbc/StateSearchW.h rename to libs/ilbc/StateSearchW.h diff --git a/contrib/ilbc/anaFilter.c b/libs/ilbc/anaFilter.c similarity index 100% rename from contrib/ilbc/anaFilter.c rename to libs/ilbc/anaFilter.c diff --git a/contrib/ilbc/anaFilter.h b/libs/ilbc/anaFilter.h similarity index 100% rename from contrib/ilbc/anaFilter.h rename to libs/ilbc/anaFilter.h diff --git a/contrib/ilbc/constants.c b/libs/ilbc/constants.c similarity index 100% rename from contrib/ilbc/constants.c rename to libs/ilbc/constants.c diff --git a/contrib/ilbc/constants.h b/libs/ilbc/constants.h similarity index 100% rename from contrib/ilbc/constants.h rename to libs/ilbc/constants.h diff --git a/contrib/ilbc/createCB.c b/libs/ilbc/createCB.c similarity index 100% rename from contrib/ilbc/createCB.c rename to libs/ilbc/createCB.c diff --git a/contrib/ilbc/createCB.h b/libs/ilbc/createCB.h similarity index 100% rename from contrib/ilbc/createCB.h rename to libs/ilbc/createCB.h diff --git a/contrib/ilbc/doCPLC.c b/libs/ilbc/doCPLC.c similarity index 100% rename from contrib/ilbc/doCPLC.c rename to libs/ilbc/doCPLC.c diff --git a/contrib/ilbc/doCPLC.h b/libs/ilbc/doCPLC.h similarity index 100% rename from contrib/ilbc/doCPLC.h rename to libs/ilbc/doCPLC.h diff --git a/contrib/ilbc/enhancer.c b/libs/ilbc/enhancer.c similarity index 100% rename from contrib/ilbc/enhancer.c rename to libs/ilbc/enhancer.c diff --git a/contrib/ilbc/enhancer.h b/libs/ilbc/enhancer.h similarity index 100% rename from contrib/ilbc/enhancer.h rename to libs/ilbc/enhancer.h diff --git a/contrib/ilbc/filter.c b/libs/ilbc/filter.c similarity index 100% rename from contrib/ilbc/filter.c rename to libs/ilbc/filter.c diff --git a/contrib/ilbc/filter.h b/libs/ilbc/filter.h similarity index 100% rename from contrib/ilbc/filter.h rename to libs/ilbc/filter.h diff --git a/contrib/ilbc/gainquant.c b/libs/ilbc/gainquant.c similarity index 100% rename from contrib/ilbc/gainquant.c rename to libs/ilbc/gainquant.c diff --git a/contrib/ilbc/gainquant.h b/libs/ilbc/gainquant.h similarity index 100% rename from contrib/ilbc/gainquant.h rename to libs/ilbc/gainquant.h diff --git a/contrib/ilbc/getCBvec.c b/libs/ilbc/getCBvec.c similarity index 100% rename from contrib/ilbc/getCBvec.c rename to libs/ilbc/getCBvec.c diff --git a/contrib/ilbc/getCBvec.h b/libs/ilbc/getCBvec.h similarity index 100% rename from contrib/ilbc/getCBvec.h rename to libs/ilbc/getCBvec.h diff --git a/contrib/ilbc/helpfun.c b/libs/ilbc/helpfun.c similarity index 100% rename from contrib/ilbc/helpfun.c rename to libs/ilbc/helpfun.c diff --git a/contrib/ilbc/helpfun.h b/libs/ilbc/helpfun.h similarity index 100% rename from contrib/ilbc/helpfun.h rename to libs/ilbc/helpfun.h diff --git a/contrib/ilbc/hpInput.c b/libs/ilbc/hpInput.c similarity index 100% rename from contrib/ilbc/hpInput.c rename to libs/ilbc/hpInput.c diff --git a/contrib/ilbc/hpInput.h b/libs/ilbc/hpInput.h similarity index 100% rename from contrib/ilbc/hpInput.h rename to libs/ilbc/hpInput.h diff --git a/contrib/ilbc/hpOutput.c b/libs/ilbc/hpOutput.c similarity index 100% rename from contrib/ilbc/hpOutput.c rename to libs/ilbc/hpOutput.c diff --git a/contrib/ilbc/hpOutput.h b/libs/ilbc/hpOutput.h similarity index 100% rename from contrib/ilbc/hpOutput.h rename to libs/ilbc/hpOutput.h diff --git a/contrib/ilbc/iCBConstruct.c b/libs/ilbc/iCBConstruct.c similarity index 100% rename from contrib/ilbc/iCBConstruct.c rename to libs/ilbc/iCBConstruct.c diff --git a/contrib/ilbc/iCBConstruct.h b/libs/ilbc/iCBConstruct.h similarity index 100% rename from contrib/ilbc/iCBConstruct.h rename to libs/ilbc/iCBConstruct.h diff --git a/contrib/ilbc/iCBSearch.c b/libs/ilbc/iCBSearch.c similarity index 100% rename from contrib/ilbc/iCBSearch.c rename to libs/ilbc/iCBSearch.c diff --git a/contrib/ilbc/iCBSearch.h b/libs/ilbc/iCBSearch.h similarity index 100% rename from contrib/ilbc/iCBSearch.h rename to libs/ilbc/iCBSearch.h diff --git a/contrib/ilbc/iLBC_decode.c b/libs/ilbc/iLBC_decode.c similarity index 100% rename from contrib/ilbc/iLBC_decode.c rename to libs/ilbc/iLBC_decode.c diff --git a/contrib/ilbc/iLBC_decode.h b/libs/ilbc/iLBC_decode.h similarity index 100% rename from contrib/ilbc/iLBC_decode.h rename to libs/ilbc/iLBC_decode.h diff --git a/contrib/ilbc/iLBC_define.h b/libs/ilbc/iLBC_define.h similarity index 100% rename from contrib/ilbc/iLBC_define.h rename to libs/ilbc/iLBC_define.h diff --git a/contrib/ilbc/iLBC_encode.c b/libs/ilbc/iLBC_encode.c similarity index 100% rename from contrib/ilbc/iLBC_encode.c rename to libs/ilbc/iLBC_encode.c diff --git a/contrib/ilbc/iLBC_encode.h b/libs/ilbc/iLBC_encode.h similarity index 100% rename from contrib/ilbc/iLBC_encode.h rename to libs/ilbc/iLBC_encode.h diff --git a/contrib/ilbc/lsf.c b/libs/ilbc/lsf.c similarity index 100% rename from contrib/ilbc/lsf.c rename to libs/ilbc/lsf.c diff --git a/contrib/ilbc/lsf.h b/libs/ilbc/lsf.h similarity index 100% rename from contrib/ilbc/lsf.h rename to libs/ilbc/lsf.h diff --git a/contrib/ilbc/packing.c b/libs/ilbc/packing.c similarity index 100% rename from contrib/ilbc/packing.c rename to libs/ilbc/packing.c diff --git a/contrib/ilbc/packing.h b/libs/ilbc/packing.h similarity index 100% rename from contrib/ilbc/packing.h rename to libs/ilbc/packing.h diff --git a/contrib/ilbc/syntFilter.c b/libs/ilbc/syntFilter.c similarity index 100% rename from contrib/ilbc/syntFilter.c rename to libs/ilbc/syntFilter.c diff --git a/contrib/ilbc/syntFilter.h b/libs/ilbc/syntFilter.h similarity index 100% rename from contrib/ilbc/syntFilter.h rename to libs/ilbc/syntFilter.h diff --git a/contrib/yiax/.cvsignore b/libs/yiax/.cvsignore similarity index 100% rename from contrib/yiax/.cvsignore rename to libs/yiax/.cvsignore diff --git a/contrib/yiax/Makefile.in b/libs/yiax/Makefile.in similarity index 100% rename from contrib/yiax/Makefile.in rename to libs/yiax/Makefile.in diff --git a/contrib/yiax/engine.cpp b/libs/yiax/engine.cpp similarity index 100% rename from contrib/yiax/engine.cpp rename to libs/yiax/engine.cpp diff --git a/contrib/yiax/frame.cpp b/libs/yiax/frame.cpp similarity index 100% rename from contrib/yiax/frame.cpp rename to libs/yiax/frame.cpp diff --git a/contrib/yiax/transaction.cpp b/libs/yiax/transaction.cpp similarity index 100% rename from contrib/yiax/transaction.cpp rename to libs/yiax/transaction.cpp diff --git a/contrib/yiax/yateiax.h b/libs/yiax/yateiax.h similarity index 100% rename from contrib/yiax/yateiax.h rename to libs/yiax/yateiax.h diff --git a/contrib/yjingle/.cvsignore b/libs/yjingle/.cvsignore similarity index 100% rename from contrib/yjingle/.cvsignore rename to libs/yjingle/.cvsignore diff --git a/contrib/yjingle/Makefile.in b/libs/yjingle/Makefile.in similarity index 100% rename from contrib/yjingle/Makefile.in rename to libs/yjingle/Makefile.in diff --git a/contrib/yjingle/jbengine.cpp b/libs/yjingle/jbengine.cpp similarity index 100% rename from contrib/yjingle/jbengine.cpp rename to libs/yjingle/jbengine.cpp diff --git a/contrib/yjingle/jbstream.cpp b/libs/yjingle/jbstream.cpp similarity index 100% rename from contrib/yjingle/jbstream.cpp rename to libs/yjingle/jbstream.cpp diff --git a/contrib/yjingle/jgengine.cpp b/libs/yjingle/jgengine.cpp similarity index 100% rename from contrib/yjingle/jgengine.cpp rename to libs/yjingle/jgengine.cpp diff --git a/contrib/yjingle/session.cpp b/libs/yjingle/session.cpp similarity index 100% rename from contrib/yjingle/session.cpp rename to libs/yjingle/session.cpp diff --git a/contrib/yjingle/xmlparser.cpp b/libs/yjingle/xmlparser.cpp similarity index 100% rename from contrib/yjingle/xmlparser.cpp rename to libs/yjingle/xmlparser.cpp diff --git a/contrib/yjingle/xmlparser.h b/libs/yjingle/xmlparser.h similarity index 100% rename from contrib/yjingle/xmlparser.h rename to libs/yjingle/xmlparser.h diff --git a/contrib/yjingle/xmpputils.cpp b/libs/yjingle/xmpputils.cpp similarity index 100% rename from contrib/yjingle/xmpputils.cpp rename to libs/yjingle/xmpputils.cpp diff --git a/contrib/yjingle/xmpputils.h b/libs/yjingle/xmpputils.h similarity index 100% rename from contrib/yjingle/xmpputils.h rename to libs/yjingle/xmpputils.h diff --git a/contrib/yjingle/yatejabber.h b/libs/yjingle/yatejabber.h similarity index 100% rename from contrib/yjingle/yatejabber.h rename to libs/yjingle/yatejabber.h diff --git a/contrib/yjingle/yatejingle.h b/libs/yjingle/yatejingle.h similarity index 100% rename from contrib/yjingle/yatejingle.h rename to libs/yjingle/yatejingle.h diff --git a/contrib/ypbx/.cvsignore b/libs/ypbx/.cvsignore similarity index 100% rename from contrib/ypbx/.cvsignore rename to libs/ypbx/.cvsignore diff --git a/contrib/ypbx/Makefile.in b/libs/ypbx/Makefile.in similarity index 100% rename from contrib/ypbx/Makefile.in rename to libs/ypbx/Makefile.in diff --git a/contrib/ypbx/assist.cpp b/libs/ypbx/assist.cpp similarity index 100% rename from contrib/ypbx/assist.cpp rename to libs/ypbx/assist.cpp diff --git a/contrib/ypbx/multiroute.cpp b/libs/ypbx/multiroute.cpp similarity index 100% rename from contrib/ypbx/multiroute.cpp rename to libs/ypbx/multiroute.cpp diff --git a/contrib/ypbx/yatepbx.h b/libs/ypbx/yatepbx.h similarity index 100% rename from contrib/ypbx/yatepbx.h rename to libs/ypbx/yatepbx.h diff --git a/contrib/yrtp/.cvsignore b/libs/yrtp/.cvsignore similarity index 100% rename from contrib/yrtp/.cvsignore rename to libs/yrtp/.cvsignore diff --git a/contrib/yrtp/Makefile.in b/libs/yrtp/Makefile.in similarity index 100% rename from contrib/yrtp/Makefile.in rename to libs/yrtp/Makefile.in diff --git a/contrib/yrtp/session.cpp b/libs/yrtp/session.cpp similarity index 100% rename from contrib/yrtp/session.cpp rename to libs/yrtp/session.cpp diff --git a/contrib/yrtp/transport.cpp b/libs/yrtp/transport.cpp similarity index 100% rename from contrib/yrtp/transport.cpp rename to libs/yrtp/transport.cpp diff --git a/contrib/yrtp/yatertp.h b/libs/yrtp/yatertp.h similarity index 100% rename from contrib/yrtp/yatertp.h rename to libs/yrtp/yatertp.h diff --git a/contrib/ysip/.cvsignore b/libs/ysip/.cvsignore similarity index 100% rename from contrib/ysip/.cvsignore rename to libs/ysip/.cvsignore diff --git a/contrib/ysip/Makefile.in b/libs/ysip/Makefile.in similarity index 100% rename from contrib/ysip/Makefile.in rename to libs/ysip/Makefile.in diff --git a/contrib/ysip/engine.cpp b/libs/ysip/engine.cpp similarity index 100% rename from contrib/ysip/engine.cpp rename to libs/ysip/engine.cpp diff --git a/contrib/ysip/message.cpp b/libs/ysip/message.cpp similarity index 100% rename from contrib/ysip/message.cpp rename to libs/ysip/message.cpp diff --git a/contrib/ysip/transaction.cpp b/libs/ysip/transaction.cpp similarity index 100% rename from contrib/ysip/transaction.cpp rename to libs/ysip/transaction.cpp diff --git a/contrib/ysip/util.cpp b/libs/ysip/util.cpp similarity index 100% rename from contrib/ysip/util.cpp rename to libs/ysip/util.cpp diff --git a/contrib/ysip/util.h b/libs/ysip/util.h similarity index 100% rename from contrib/ysip/util.h rename to libs/ysip/util.h diff --git a/contrib/ysip/yatesip.h b/libs/ysip/yatesip.h similarity index 100% rename from contrib/ysip/yatesip.h rename to libs/ysip/yatesip.h diff --git a/contrib/yss7/.cvsignore b/libs/yss7/.cvsignore similarity index 100% rename from contrib/yss7/.cvsignore rename to libs/yss7/.cvsignore diff --git a/contrib/yss7/Makefile.in b/libs/yss7/Makefile.in similarity index 100% rename from contrib/yss7/Makefile.in rename to libs/yss7/Makefile.in diff --git a/contrib/yss7/address.cpp b/libs/yss7/address.cpp similarity index 100% rename from contrib/yss7/address.cpp rename to libs/yss7/address.cpp diff --git a/contrib/yss7/classes.dia b/libs/yss7/classes.dia similarity index 100% rename from contrib/yss7/classes.dia rename to libs/yss7/classes.dia diff --git a/contrib/yss7/engine.cpp b/libs/yss7/engine.cpp similarity index 100% rename from contrib/yss7/engine.cpp rename to libs/yss7/engine.cpp diff --git a/contrib/yss7/interface.cpp b/libs/yss7/interface.cpp similarity index 100% rename from contrib/yss7/interface.cpp rename to libs/yss7/interface.cpp diff --git a/contrib/yss7/layer2.cpp b/libs/yss7/layer2.cpp similarity index 100% rename from contrib/yss7/layer2.cpp rename to libs/yss7/layer2.cpp diff --git a/contrib/yss7/layer3.cpp b/libs/yss7/layer3.cpp similarity index 100% rename from contrib/yss7/layer3.cpp rename to libs/yss7/layer3.cpp diff --git a/contrib/yss7/main-ss7test.cpp b/libs/yss7/main-ss7test.cpp similarity index 100% rename from contrib/yss7/main-ss7test.cpp rename to libs/yss7/main-ss7test.cpp diff --git a/contrib/yss7/q921.cpp b/libs/yss7/q921.cpp similarity index 100% rename from contrib/yss7/q921.cpp rename to libs/yss7/q921.cpp diff --git a/contrib/yss7/q931.cpp b/libs/yss7/q931.cpp similarity index 100% rename from contrib/yss7/q931.cpp rename to libs/yss7/q931.cpp diff --git a/contrib/yss7/router.cpp b/libs/yss7/router.cpp similarity index 100% rename from contrib/yss7/router.cpp rename to libs/yss7/router.cpp diff --git a/contrib/yss7/run-ss7test b/libs/yss7/run-ss7test similarity index 100% rename from contrib/yss7/run-ss7test rename to libs/yss7/run-ss7test diff --git a/contrib/yss7/sccp.cpp b/libs/yss7/sccp.cpp similarity index 100% rename from contrib/yss7/sccp.cpp rename to libs/yss7/sccp.cpp diff --git a/contrib/yss7/sigcall.cpp b/libs/yss7/sigcall.cpp similarity index 100% rename from contrib/yss7/sigcall.cpp rename to libs/yss7/sigcall.cpp diff --git a/contrib/yss7/sigtran.cpp b/libs/yss7/sigtran.cpp similarity index 100% rename from contrib/yss7/sigtran.cpp rename to libs/yss7/sigtran.cpp diff --git a/contrib/yss7/tcap.cpp b/libs/yss7/tcap.cpp similarity index 100% rename from contrib/yss7/tcap.cpp rename to libs/yss7/tcap.cpp diff --git a/contrib/yss7/usage.txt b/libs/yss7/usage.txt similarity index 100% rename from contrib/yss7/usage.txt rename to libs/yss7/usage.txt diff --git a/contrib/yss7/yatess7.h b/libs/yss7/yatess7.h similarity index 100% rename from contrib/yss7/yatess7.h rename to libs/yss7/yatess7.h diff --git a/contrib/yxml/.cvsignore b/libs/yxml/.cvsignore similarity index 100% rename from contrib/yxml/.cvsignore rename to libs/yxml/.cvsignore diff --git a/contrib/yxml/Makefile.in b/libs/yxml/Makefile.in similarity index 100% rename from contrib/yxml/Makefile.in rename to libs/yxml/Makefile.in diff --git a/contrib/yxml/tinystr.cpp b/libs/yxml/tinystr.cpp similarity index 100% rename from contrib/yxml/tinystr.cpp rename to libs/yxml/tinystr.cpp diff --git a/contrib/yxml/tinystr.h b/libs/yxml/tinystr.h similarity index 100% rename from contrib/yxml/tinystr.h rename to libs/yxml/tinystr.h diff --git a/contrib/yxml/tinyxml.cpp b/libs/yxml/tinyxml.cpp similarity index 100% rename from contrib/yxml/tinyxml.cpp rename to libs/yxml/tinyxml.cpp diff --git a/contrib/yxml/tinyxml.h b/libs/yxml/tinyxml.h similarity index 100% rename from contrib/yxml/tinyxml.h rename to libs/yxml/tinyxml.h diff --git a/contrib/yxml/tinyxmlparser.cpp b/libs/yxml/tinyxmlparser.cpp similarity index 100% rename from contrib/yxml/tinyxmlparser.cpp rename to libs/yxml/tinyxmlparser.cpp diff --git a/modules/alsachan.cpp b/modules/client/alsachan.cpp similarity index 100% rename from modules/alsachan.cpp rename to modules/client/alsachan.cpp diff --git a/modules/dsoundchan.cpp b/modules/client/dsoundchan.cpp similarity index 100% rename from modules/dsoundchan.cpp rename to modules/client/dsoundchan.cpp diff --git a/modules/osschan.cpp b/modules/client/osschan.cpp similarity index 100% rename from modules/osschan.cpp rename to modules/client/osschan.cpp diff --git a/modules/accfile.cpp b/modules/server/accfile.cpp similarity index 100% rename from modules/accfile.cpp rename to modules/server/accfile.cpp diff --git a/modules/dbpbx.cpp b/modules/server/dbpbx.cpp similarity index 100% rename from modules/dbpbx.cpp rename to modules/server/dbpbx.cpp diff --git a/modules/mysqldb.cpp b/modules/server/mysqldb.cpp similarity index 100% rename from modules/mysqldb.cpp rename to modules/server/mysqldb.cpp diff --git a/modules/park.cpp b/modules/server/park.cpp similarity index 100% rename from modules/park.cpp rename to modules/server/park.cpp diff --git a/modules/pbxassist.cpp b/modules/server/pbxassist.cpp similarity index 100% rename from modules/pbxassist.cpp rename to modules/server/pbxassist.cpp diff --git a/modules/pgsqldb.cpp b/modules/server/pgsqldb.cpp similarity index 100% rename from modules/pgsqldb.cpp rename to modules/server/pgsqldb.cpp diff --git a/modules/queues.cpp b/modules/server/queues.cpp similarity index 100% rename from modules/queues.cpp rename to modules/server/queues.cpp diff --git a/modules/regfile.cpp b/modules/server/regfile.cpp similarity index 100% rename from modules/regfile.cpp rename to modules/server/regfile.cpp diff --git a/modules/register.cpp b/modules/server/register.cpp similarity index 100% rename from modules/register.cpp rename to modules/server/register.cpp diff --git a/modules/sipfeatures.cpp b/modules/server/sipfeatures.cpp similarity index 100% rename from modules/sipfeatures.cpp rename to modules/server/sipfeatures.cpp diff --git a/modules/yradius.cpp b/modules/server/yradius.cpp similarity index 100% rename from modules/yradius.cpp rename to modules/server/yradius.cpp diff --git a/test/.cvsignore b/modules/test/.cvsignore similarity index 100% rename from test/.cvsignore rename to modules/test/.cvsignore diff --git a/test/Makefile.in b/modules/test/Makefile.in similarity index 100% rename from test/Makefile.in rename to modules/test/Makefile.in diff --git a/test/randcall.cpp b/modules/test/randcall.cpp similarity index 100% rename from test/randcall.cpp rename to modules/test/randcall.cpp diff --git a/test/test.cpp b/modules/test/test.cpp similarity index 100% rename from test/test.cpp rename to modules/test/test.cpp diff --git a/test/test1.cpp b/modules/test/test1.cpp similarity index 100% rename from test/test1.cpp rename to modules/test/test1.cpp diff --git a/yate.spec.in b/packing/rpm/yate.spec.in similarity index 100% rename from yate.spec.in rename to packing/rpm/yate.spec.in diff --git a/tarballs/.cvsignore b/packing/tarballs/.cvsignore similarity index 100% rename from tarballs/.cvsignore rename to packing/tarballs/.cvsignore diff --git a/yate.init b/packing/yate.init similarity index 100% rename from yate.init rename to packing/yate.init diff --git a/yate.logrotate b/packing/yate.logrotate similarity index 100% rename from yate.logrotate rename to packing/yate.logrotate diff --git a/yate.url b/packing/yate.url similarity index 100% rename from yate.url rename to packing/yate.url diff --git a/modules/help/.cvsignore b/share/help/.cvsignore similarity index 100% rename from modules/help/.cvsignore rename to share/help/.cvsignore diff --git a/modules/help/0.yhlp b/share/help/0.yhlp similarity index 100% rename from modules/help/0.yhlp rename to share/help/0.yhlp diff --git a/modules/help/1.yhlp b/share/help/1.yhlp similarity index 100% rename from modules/help/1.yhlp rename to share/help/1.yhlp diff --git a/modules/help/2.yhlp b/share/help/2.yhlp similarity index 100% rename from modules/help/2.yhlp rename to share/help/2.yhlp diff --git a/modules/help/3.yhlp b/share/help/3.yhlp similarity index 100% rename from modules/help/3.yhlp rename to share/help/3.yhlp diff --git a/modules/help/4.yhlp b/share/help/4.yhlp similarity index 100% rename from modules/help/4.yhlp rename to share/help/4.yhlp diff --git a/modules/help/99.yhlp b/share/help/99.yhlp similarity index 100% rename from modules/help/99.yhlp rename to share/help/99.yhlp diff --git a/modules/help/Makefile.in b/share/help/Makefile.in similarity index 100% rename from modules/help/Makefile.in rename to share/help/Makefile.in diff --git a/scripts/.cvsignore b/share/scripts/.cvsignore similarity index 100% rename from scripts/.cvsignore rename to share/scripts/.cvsignore diff --git a/scripts/Makefile.in b/share/scripts/Makefile.in similarity index 100% rename from scripts/Makefile.in rename to share/scripts/Makefile.in diff --git a/scripts/Yate.pm b/share/scripts/Yate.pm similarity index 100% rename from scripts/Yate.pm rename to share/scripts/Yate.pm diff --git a/scripts/detector.php b/share/scripts/detector.php similarity index 100% rename from scripts/detector.php rename to share/scripts/detector.php diff --git a/scripts/dtmf1.pl b/share/scripts/dtmf1.pl similarity index 100% rename from scripts/dtmf1.pl rename to share/scripts/dtmf1.pl diff --git a/scripts/echo.sh b/share/scripts/echo.sh similarity index 100% rename from scripts/echo.sh rename to share/scripts/echo.sh diff --git a/scripts/leavemail.php b/share/scripts/leavemail.php similarity index 100% rename from scripts/leavemail.php rename to share/scripts/leavemail.php diff --git a/scripts/libvoicemail.php b/share/scripts/libvoicemail.php similarity index 100% rename from scripts/libvoicemail.php rename to share/scripts/libvoicemail.php diff --git a/scripts/libyate.php b/share/scripts/libyate.php similarity index 100% rename from scripts/libyate.php rename to share/scripts/libyate.php diff --git a/scripts/libyate.py b/share/scripts/libyate.py similarity index 100% rename from scripts/libyate.py rename to share/scripts/libyate.py diff --git a/scripts/libyatechan.php b/share/scripts/libyatechan.php similarity index 100% rename from scripts/libyatechan.php rename to share/scripts/libyatechan.php diff --git a/scripts/noise.sh b/share/scripts/noise.sh similarity index 100% rename from scripts/noise.sh rename to share/scripts/noise.sh diff --git a/scripts/noroute.pl b/share/scripts/noroute.pl similarity index 100% rename from scripts/noroute.pl rename to share/scripts/noroute.pl diff --git a/scripts/overlapped.php b/share/scripts/overlapped.php similarity index 100% rename from scripts/overlapped.php rename to share/scripts/overlapped.php diff --git a/scripts/pbxassist.php b/share/scripts/pbxassist.php similarity index 100% rename from scripts/pbxassist.php rename to share/scripts/pbxassist.php diff --git a/scripts/play.sh b/share/scripts/play.sh similarity index 100% rename from scripts/play.sh rename to share/scripts/play.sh diff --git a/scripts/playrec.php b/share/scripts/playrec.php similarity index 100% rename from scripts/playrec.php rename to share/scripts/playrec.php diff --git a/scripts/registration.php b/share/scripts/registration.php similarity index 100% rename from scripts/registration.php rename to share/scripts/registration.php diff --git a/scripts/route.php b/share/scripts/route.php similarity index 100% rename from scripts/route.php rename to share/scripts/route.php diff --git a/scripts/sipfeatures.php b/share/scripts/sipfeatures.php similarity index 100% rename from scripts/sipfeatures.php rename to share/scripts/sipfeatures.php diff --git a/scripts/test.php b/share/scripts/test.php similarity index 100% rename from scripts/test.php rename to share/scripts/test.php diff --git a/scripts/test.py b/share/scripts/test.py similarity index 100% rename from scripts/test.py rename to share/scripts/test.py diff --git a/scripts/test.sh b/share/scripts/test.sh similarity index 100% rename from scripts/test.sh rename to share/scripts/test.sh diff --git a/scripts/voicemail.php b/share/scripts/voicemail.php similarity index 100% rename from scripts/voicemail.php rename to share/scripts/voicemail.php diff --git a/scripts/yaypm/INSTALL b/share/scripts/yaypm/INSTALL similarity index 100% rename from scripts/yaypm/INSTALL rename to share/scripts/yaypm/INSTALL diff --git a/scripts/yaypm/__init__.py b/share/scripts/yaypm/__init__.py similarity index 100% rename from scripts/yaypm/__init__.py rename to share/scripts/yaypm/__init__.py diff --git a/scripts/yaypm/examples/keyecho.py b/share/scripts/yaypm/examples/keyecho.py similarity index 100% rename from scripts/yaypm/examples/keyecho.py rename to share/scripts/yaypm/examples/keyecho.py diff --git a/scripts/yaypm/examples/keyecho2.py b/share/scripts/yaypm/examples/keyecho2.py similarity index 100% rename from scripts/yaypm/examples/keyecho2.py rename to share/scripts/yaypm/examples/keyecho2.py diff --git a/scripts/yaypm/examples/pbx.py b/share/scripts/yaypm/examples/pbx.py similarity index 100% rename from scripts/yaypm/examples/pbx.py rename to share/scripts/yaypm/examples/pbx.py diff --git a/scripts/yaypm/flow.py b/share/scripts/yaypm/flow.py similarity index 100% rename from scripts/yaypm/flow.py rename to share/scripts/yaypm/flow.py diff --git a/scripts/yaypm/setup.py b/share/scripts/yaypm/setup.py similarity index 100% rename from scripts/yaypm/setup.py rename to share/scripts/yaypm/setup.py diff --git a/scripts/yaypm/utils/__init__.py b/share/scripts/yaypm/utils/__init__.py similarity index 100% rename from scripts/yaypm/utils/__init__.py rename to share/scripts/yaypm/utils/__init__.py diff --git a/scripts/yaypm/utils/answer.py b/share/scripts/yaypm/utils/answer.py similarity index 100% rename from scripts/yaypm/utils/answer.py rename to share/scripts/yaypm/utils/answer.py diff --git a/scripts/yaypm/utils/tester.py b/share/scripts/yaypm/utils/tester.py similarity index 100% rename from scripts/yaypm/utils/tester.py rename to share/scripts/yaypm/utils/tester.py diff --git a/scripts/yaypm/utils/tester/__init__.py b/share/scripts/yaypm/utils/tester/__init__.py similarity index 100% rename from scripts/yaypm/utils/tester/__init__.py rename to share/scripts/yaypm/utils/tester/__init__.py diff --git a/scripts/yaypm/utils/tester/dtmfetc.py b/share/scripts/yaypm/utils/tester/dtmfetc.py similarity index 100% rename from scripts/yaypm/utils/tester/dtmfetc.py rename to share/scripts/yaypm/utils/tester/dtmfetc.py diff --git a/modules/skin/.cvsignore b/share/skins/.cvsignore similarity index 100% rename from modules/skin/.cvsignore rename to share/skins/.cvsignore diff --git a/modules/skin/Makefile.in b/share/skins/Makefile.in similarity index 100% rename from modules/skin/Makefile.in rename to share/skins/Makefile.in diff --git a/modules/skin/default/.cvsignore b/share/skins/default/.cvsignore similarity index 100% rename from modules/skin/default/.cvsignore rename to share/skins/default/.cvsignore diff --git a/modules/skin/tabbed/book.png b/share/skins/default/book.png similarity index 100% rename from modules/skin/tabbed/book.png rename to share/skins/default/book.png diff --git a/modules/skin/tabbed/close.png b/share/skins/default/close.png similarity index 100% rename from modules/skin/tabbed/close.png rename to share/skins/default/close.png diff --git a/modules/skin/tabbed/configure.png b/share/skins/default/configure.png similarity index 100% rename from modules/skin/tabbed/configure.png rename to share/skins/default/configure.png diff --git a/modules/skin/tabbed/down.png b/share/skins/default/down.png similarity index 100% rename from modules/skin/tabbed/down.png rename to share/skins/default/down.png diff --git a/modules/skin/tabbed/gtk2client.rc b/share/skins/default/gtk2client.rc similarity index 100% rename from modules/skin/tabbed/gtk2client.rc rename to share/skins/default/gtk2client.rc diff --git a/modules/skin/tabbed/gtk2client.ui b/share/skins/default/gtk2client.ui similarity index 100% rename from modules/skin/tabbed/gtk2client.ui rename to share/skins/default/gtk2client.ui diff --git a/modules/skin/tabbed/ok.png b/share/skins/default/ok.png similarity index 100% rename from modules/skin/tabbed/ok.png rename to share/skins/default/ok.png diff --git a/modules/skin/tabbed/phone.png b/share/skins/default/phone.png similarity index 100% rename from modules/skin/tabbed/phone.png rename to share/skins/default/phone.png diff --git a/modules/skin/tabbed/quest.png b/share/skins/default/quest.png similarity index 100% rename from modules/skin/tabbed/quest.png rename to share/skins/default/quest.png diff --git a/modules/skin/tabbed/up.png b/share/skins/default/up.png similarity index 100% rename from modules/skin/tabbed/up.png rename to share/skins/default/up.png diff --git a/modules/skin/tabbed/user.png b/share/skins/default/user.png similarity index 100% rename from modules/skin/tabbed/user.png rename to share/skins/default/user.png diff --git a/modules/skin/tabbed/.cvsignore b/share/skins/skinned/.cvsignore similarity index 100% rename from modules/skin/tabbed/.cvsignore rename to share/skins/skinned/.cvsignore diff --git a/modules/skin/default/gtk2client.rc b/share/skins/skinned/gtk2client.rc similarity index 100% rename from modules/skin/default/gtk2client.rc rename to share/skins/skinned/gtk2client.rc diff --git a/modules/skin/default/gtk2client.ui b/share/skins/skinned/gtk2client.ui similarity index 100% rename from modules/skin/default/gtk2client.ui rename to share/skins/skinned/gtk2client.ui diff --git a/modules/skin/default/skin.png b/share/skins/skinned/skin.png similarity index 100% rename from modules/skin/default/skin.png rename to share/skins/skinned/skin.png diff --git a/modules/skin/default/skin_g.png b/share/skins/skinned/skin_g.png similarity index 100% rename from modules/skin/default/skin_g.png rename to share/skins/skinned/skin_g.png diff --git a/modules/skin/default/skin_h.png b/share/skins/skinned/skin_h.png similarity index 100% rename from modules/skin/default/skin_h.png rename to share/skins/skinned/skin_h.png diff --git a/modules/skin/default/skin_n.png b/share/skins/skinned/skin_n.png similarity index 100% rename from modules/skin/default/skin_n.png rename to share/skins/skinned/skin_n.png diff --git a/modules/skin/default/skin_p.png b/share/skins/skinned/skin_p.png similarity index 100% rename from modules/skin/default/skin_p.png rename to share/skins/skinned/skin_p.png