osmo-e1-hardware/hardware/icE1usb/icE1usb.kicad_sch

4004 lines
159 KiB
Plaintext

(kicad_sch (version 20211123) (generator eeschema)
(uuid 626679e8-6101-4722-ac57-5b8d9dab4c8b)
(paper "A4")
(title_block
(title "icE1usb - Top level overview")
(date "2022-09-05")
(rev "1.1")
(comment 1 "CERN-OHL-S")
(comment 2 "(C) 2020-2022 Sylvain Munaut")
)
(lib_symbols
(symbol "Connector:AudioJack3" (in_bom yes) (on_board yes)
(property "Reference" "J" (id 0) (at 0 8.89 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "AudioJack3" (id 1) (at 0 6.35 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "audio jack receptacle stereo headphones phones TRS connector" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Audio Jack, 3 Poles (Stereo / TRS)" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Jack*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "AudioJack3_0_1"
(rectangle (start -5.08 -5.08) (end -6.35 -2.54)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type outline))
)
(polyline
(pts
(xy 0 -2.54)
(xy 0.635 -3.175)
(xy 1.27 -2.54)
(xy 2.54 -2.54)
)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -1.905 -2.54)
(xy -1.27 -3.175)
(xy -0.635 -2.54)
(xy -0.635 0)
(xy 2.54 0)
)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 2.54 2.54)
(xy -2.54 2.54)
(xy -2.54 -2.54)
(xy -3.175 -3.175)
(xy -3.81 -2.54)
)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 2.54 3.81) (end -5.08 -5.08)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
)
(symbol "AudioJack3_1_1"
(pin passive line (at 5.08 0 180) (length 2.54)
(name "~" (effects (font (size 1.27 1.27))))
(number "R" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 5.08 2.54 180) (length 2.54)
(name "~" (effects (font (size 1.27 1.27))))
(number "S" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 5.08 -2.54 180) (length 2.54)
(name "~" (effects (font (size 1.27 1.27))))
(number "T" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Connector:Conn_Coaxial" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "J" (id 0) (at 0.254 3.048 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Conn_Coaxial" (id 1) (at 2.921 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" " ~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "BNC SMA SMB SMC LEMO coaxial connector CINCH RCA" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "coaxial connector (BNC, SMA, SMB, SMC, Cinch/RCA, LEMO, ...)" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "*BNC* *SMA* *SMB* *SMC* *Cinch* *LEMO*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Conn_Coaxial_0_1"
(arc (start -1.778 -0.508) (mid 0.222 -1.808) (end 1.778 0)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -2.54 0)
(xy -0.508 0)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 -2.54)
(xy 0 -1.778)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(circle (center 0 0) (radius 0.508)
(stroke (width 0.2032) (type default) (color 0 0 0 0))
(fill (type none))
)
(arc (start 1.778 0) (mid 0.222 1.8083) (end -1.778 0.508)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "Conn_Coaxial_1_1"
(pin passive line (at -5.08 0 0) (length 2.54)
(name "In" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -5.08 90) (length 2.54)
(name "Ext" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Connector:RJ45" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "J" (id 0) (at -5.08 13.97 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Value" "RJ45" (id 1) (at 2.54 13.97 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 0 0.635 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0.635 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "8P8C RJ female connector" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "RJ connector, 8P8C (8 positions 8 connected)" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "8P8C* RJ31* RJ32* RJ33* RJ34* RJ35* RJ41* RJ45* RJ49* RJ61*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "RJ45_0_1"
(polyline
(pts
(xy -5.08 4.445)
(xy -6.35 4.445)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -5.08 5.715)
(xy -6.35 5.715)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.35 -3.175)
(xy -5.08 -3.175)
(xy -5.08 -3.175)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.35 -1.905)
(xy -5.08 -1.905)
(xy -5.08 -1.905)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.35 -0.635)
(xy -5.08 -0.635)
(xy -5.08 -0.635)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.35 0.635)
(xy -5.08 0.635)
(xy -5.08 0.635)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.35 1.905)
(xy -5.08 1.905)
(xy -5.08 1.905)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -5.08 3.175)
(xy -6.35 3.175)
(xy -6.35 3.175)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.35 -4.445)
(xy -6.35 6.985)
(xy 3.81 6.985)
(xy 3.81 4.445)
(xy 5.08 4.445)
(xy 5.08 3.175)
(xy 6.35 3.175)
(xy 6.35 -0.635)
(xy 5.08 -0.635)
(xy 5.08 -1.905)
(xy 3.81 -1.905)
(xy 3.81 -4.445)
(xy -6.35 -4.445)
(xy -6.35 -4.445)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 7.62 12.7) (end -7.62 -10.16)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
)
(symbol "RJ45_1_1"
(pin passive line (at 10.16 -7.62 180) (length 2.54)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 10.16 -5.08 180) (length 2.54)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 10.16 -2.54 180) (length 2.54)
(name "~" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 10.16 0 180) (length 2.54)
(name "~" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 10.16 2.54 180) (length 2.54)
(name "~" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 10.16 5.08 180) (length 2.54)
(name "~" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 10.16 7.62 180) (length 2.54)
(name "~" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 10.16 10.16 180) (length 2.54)
(name "~" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Connector:USB_C_Receptacle_USB2.0" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "J" (id 0) (at -10.16 19.05 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "USB_C_Receptacle_USB2.0" (id 1) (at 19.05 19.05 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "" (id 2) (at 3.81 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://www.usb.org/sites/default/files/documents/usb_type-c.zip" (id 3) (at 3.81 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "usb universal serial bus type-C USB2.0" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "USB 2.0-only Type-C Receptacle connector" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "USB*C*Receptacle*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "USB_C_Receptacle_USB2.0_0_0"
(rectangle (start -0.254 -17.78) (end 0.254 -16.764)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 10.16 -14.986) (end 9.144 -15.494)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 10.16 -12.446) (end 9.144 -12.954)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 10.16 -4.826) (end 9.144 -5.334)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 10.16 -2.286) (end 9.144 -2.794)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 10.16 0.254) (end 9.144 -0.254)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 10.16 2.794) (end 9.144 2.286)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 10.16 7.874) (end 9.144 7.366)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 10.16 10.414) (end 9.144 9.906)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 10.16 15.494) (end 9.144 14.986)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "USB_C_Receptacle_USB2.0_0_1"
(rectangle (start -10.16 17.78) (end 10.16 -17.78)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(arc (start -8.89 -3.81) (mid -6.985 -5.715) (end -5.08 -3.81)
(stroke (width 0.508) (type default) (color 0 0 0 0))
(fill (type none))
)
(arc (start -7.62 -3.81) (mid -6.985 -4.445) (end -6.35 -3.81)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(arc (start -7.62 -3.81) (mid -6.985 -4.445) (end -6.35 -3.81)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type outline))
)
(rectangle (start -7.62 -3.81) (end -6.35 3.81)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type outline))
)
(arc (start -6.35 3.81) (mid -6.985 4.445) (end -7.62 3.81)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(arc (start -6.35 3.81) (mid -6.985 4.445) (end -7.62 3.81)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type outline))
)
(arc (start -5.08 3.81) (mid -6.985 5.715) (end -8.89 3.81)
(stroke (width 0.508) (type default) (color 0 0 0 0))
(fill (type none))
)
(circle (center -2.54 1.143) (radius 0.635)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type outline))
)
(circle (center 0 -5.842) (radius 1.27)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type outline))
)
(polyline
(pts
(xy -8.89 -3.81)
(xy -8.89 3.81)
)
(stroke (width 0.508) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -5.08 3.81)
(xy -5.08 -3.81)
)
(stroke (width 0.508) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 -5.842)
(xy 0 4.318)
)
(stroke (width 0.508) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 -3.302)
(xy -2.54 -0.762)
(xy -2.54 0.508)
)
(stroke (width 0.508) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 -2.032)
(xy 2.54 0.508)
(xy 2.54 1.778)
)
(stroke (width 0.508) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -1.27 4.318)
(xy 0 6.858)
(xy 1.27 4.318)
(xy -1.27 4.318)
)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type outline))
)
(rectangle (start 1.905 1.778) (end 3.175 3.048)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type outline))
)
)
(symbol "USB_C_Receptacle_USB2.0_1_1"
(pin passive line (at 0 -22.86 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "A1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -22.86 90) (length 5.08) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "A12" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 15.24 15.24 180) (length 5.08)
(name "VBUS" (effects (font (size 1.27 1.27))))
(number "A4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 15.24 10.16 180) (length 5.08)
(name "CC1" (effects (font (size 1.27 1.27))))
(number "A5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 15.24 -2.54 180) (length 5.08)
(name "D+" (effects (font (size 1.27 1.27))))
(number "A6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 15.24 2.54 180) (length 5.08)
(name "D-" (effects (font (size 1.27 1.27))))
(number "A7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 15.24 -12.7 180) (length 5.08)
(name "SBU1" (effects (font (size 1.27 1.27))))
(number "A8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 15.24 15.24 180) (length 5.08) hide
(name "VBUS" (effects (font (size 1.27 1.27))))
(number "A9" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -22.86 90) (length 5.08) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "B1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -22.86 90) (length 5.08) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "B12" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 15.24 15.24 180) (length 5.08) hide
(name "VBUS" (effects (font (size 1.27 1.27))))
(number "B4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 15.24 7.62 180) (length 5.08)
(name "CC2" (effects (font (size 1.27 1.27))))
(number "B5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 15.24 -5.08 180) (length 5.08)
(name "D+" (effects (font (size 1.27 1.27))))
(number "B6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 15.24 0 180) (length 5.08)
(name "D-" (effects (font (size 1.27 1.27))))
(number "B7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 15.24 -15.24 180) (length 5.08)
(name "SBU2" (effects (font (size 1.27 1.27))))
(number "B8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 15.24 15.24 180) (length 5.08) hide
(name "VBUS" (effects (font (size 1.27 1.27))))
(number "B9" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -22.86 90) (length 5.08)
(name "SHIELD" (effects (font (size 1.27 1.27))))
(number "S1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Connector_Generic:Conn_02x04_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "J" (id 0) (at 1.27 5.08 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Conn_02x04_Odd_Even" (id 1) (at 1.27 -7.62 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "connector" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Generic connector, double row, 02x04, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Connector*:*_2x??_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Conn_02x04_Odd_Even_1_1"
(rectangle (start -1.27 -4.953) (end 0 -5.207)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -2.413) (end 0 -2.667)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 0.127) (end 0 -0.127)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 2.667) (end 0 2.413)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 3.81) (end 3.81 -6.35)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(rectangle (start 3.81 -4.953) (end 2.54 -5.207)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 -2.413) (end 2.54 -2.667)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 0.127) (end 2.54 -0.127)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 2.667) (end 2.54 2.413)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(pin passive line (at -5.08 2.54 0) (length 3.81)
(name "Pin_1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 2.54 180) (length 3.81)
(name "Pin_2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 0 0) (length 3.81)
(name "Pin_3" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 0 180) (length 3.81)
(name "Pin_4" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -2.54 0) (length 3.81)
(name "Pin_5" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -2.54 180) (length 3.81)
(name "Pin_6" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -5.08 0) (length 3.81)
(name "Pin_7" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -5.08 180) (length 3.81)
(name "Pin_8" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "C" (id 0) (at 0.254 1.778 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "C_Small" (id 1) (at 0.254 -2.032 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "capacitor cap" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Unpolarized capacitor, small symbol" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "C_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "C_Small_0_1"
(polyline
(pts
(xy -1.524 -0.508)
(xy 1.524 -0.508)
)
(stroke (width 0.3302) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -1.524 0.508)
(xy 1.524 0.508)
)
(stroke (width 0.3048) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "C_Small_1_1"
(pin passive line (at 0 2.54 270) (length 2.032)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -2.54 90) (length 2.032)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:R_Pack04" (pin_names (offset 0) hide) (in_bom yes) (on_board yes)
(property "Reference" "RN" (id 0) (at -7.62 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "R_Pack04" (id 1) (at 5.08 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 6.985 0 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "R network parallel topology isolated" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "4 resistor network, parallel topology" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "DIP* SOIC* R*Array*Concave* R*Array*Convex*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "R_Pack04_0_1"
(rectangle (start -6.35 -2.413) (end 3.81 2.413)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(rectangle (start -5.715 1.905) (end -4.445 -1.905)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -3.175 1.905) (end -1.905 -1.905)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -0.635 1.905) (end 0.635 -1.905)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -5.08 -2.54)
(xy -5.08 -1.905)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -5.08 1.905)
(xy -5.08 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -2.54 -2.54)
(xy -2.54 -1.905)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -2.54 1.905)
(xy -2.54 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 -2.54)
(xy 0 -1.905)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 1.905)
(xy 0 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 2.54 -2.54)
(xy 2.54 -1.905)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 2.54 1.905)
(xy 2.54 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 1.905 1.905) (end 3.175 -1.905)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "R_Pack04_1_1"
(pin passive line (at -5.08 -5.08 90) (length 2.54)
(name "R1.1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -2.54 -5.08 90) (length 2.54)
(name "R2.1" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -5.08 90) (length 2.54)
(name "R3.1" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 2.54 -5.08 90) (length 2.54)
(name "R4.1" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 2.54 5.08 270) (length 2.54)
(name "R4.2" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 5.08 270) (length 2.54)
(name "R3.2" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -2.54 5.08 270) (length 2.54)
(name "R2.2" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 5.08 270) (length 2.54)
(name "R1.2" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "R" (id 0) (at 0.762 0.508 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "R_Small" (id 1) (at 0.762 -1.016 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "R resistor" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Resistor, small symbol" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "R_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "R_Small_0_1"
(rectangle (start -0.762 1.778) (end 0.762 -1.778)
(stroke (width 0.2032) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "R_Small_1_1"
(pin passive line (at 0 2.54 270) (length 0.762)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -2.54 90) (length 0.762)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (id 1) (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+3V3_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "+3V3_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "+3V3" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (id 1) (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+5V\"" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+5V_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "+5V_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "+5V" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "GND_0_1"
(polyline
(pts
(xy 0 0)
(xy 0 -1.27)
(xy 1.27 -1.27)
(xy 0 -2.54)
(xy -1.27 -1.27)
(xy 0 -1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "GND_1_1"
(pin power_in line (at 0 0 270) (length 0) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "s47-conn:AMPHENOL_RJHSE508102" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "X" (id 0) (at 0 15.24 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "s47-conn_AMPHENOL_RJHSE508102" (id 1) (at 0 -12.7 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "s47-conn:RJ45_Amphenol_RJHSE508X-02" (id 2) (at 2.54 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 2.54 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "AMPHENOL_RJHSE508102_0_1"
(polyline
(pts
(xy -8.89 -7.62)
(xy -7.62 -7.62)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -8.89 -5.08)
(xy -7.62 -5.08)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -8.89 7.62)
(xy -7.62 7.62)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -8.89 10.16)
(xy -7.62 10.16)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -8.128 -5.842)
(xy -7.112 -5.842)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -8.128 9.398)
(xy -7.112 9.398)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -7.62 -7.62)
(xy -7.62 -6.858)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -7.62 -5.08)
(xy -7.62 -5.842)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -7.62 7.62)
(xy -7.62 8.382)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -7.62 10.16)
(xy -7.62 9.398)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.35 -6.223)
(xy -6.477 -6.604)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.35 -5.588)
(xy -6.477 -5.969)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.35 9.017)
(xy -6.477 8.636)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.35 9.652)
(xy -6.477 9.271)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -5.08 4.445)
(xy -6.35 4.445)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -5.08 5.715)
(xy -6.35 5.715)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.858 -6.731)
(xy -6.35 -6.223)
(xy -6.731 -6.35)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.858 -6.096)
(xy -6.35 -5.588)
(xy -6.731 -5.715)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.858 8.509)
(xy -6.35 9.017)
(xy -6.731 8.89)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.858 9.144)
(xy -6.35 9.652)
(xy -6.731 9.525)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.35 -3.175)
(xy -5.08 -3.175)
(xy -5.08 -3.175)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.35 -1.905)
(xy -5.08 -1.905)
(xy -5.08 -1.905)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.35 -0.635)
(xy -5.08 -0.635)
(xy -5.08 -0.635)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.35 0.635)
(xy -5.08 0.635)
(xy -5.08 0.635)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.35 1.905)
(xy -5.08 1.905)
(xy -5.08 1.905)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -5.08 3.175)
(xy -6.35 3.175)
(xy -6.35 3.175)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -7.62 -5.842)
(xy -8.128 -6.858)
(xy -7.112 -6.858)
(xy -7.62 -5.842)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -7.62 9.398)
(xy -8.128 8.382)
(xy -7.112 8.382)
(xy -7.62 9.398)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -6.35 -4.445)
(xy -6.35 6.985)
(xy 3.81 6.985)
(xy 3.81 4.445)
(xy 5.08 4.445)
(xy 5.08 3.175)
(xy 6.35 3.175)
(xy 6.35 -0.635)
(xy 5.08 -0.635)
(xy 5.08 -1.905)
(xy 3.81 -1.905)
(xy 3.81 -4.445)
(xy -6.35 -4.445)
(xy -6.35 -4.445)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 8.89 12.7) (end -8.89 -10.16)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
)
(symbol "AMPHENOL_RJHSE508102_1_1"
(pin passive line (at 12.7 -7.62 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -12.7 7.62 0) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -12.7 -5.08 0) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -12.7 -7.62 0) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 12.7 -5.08 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 12.7 -2.54 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 12.7 0 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 12.7 2.54 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 12.7 5.08 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 12.7 7.62 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 12.7 10.16 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -12.7 10.16 0) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
(symbol "AMPHENOL_RJHSE508102_2_1"
(pin passive line (at 12.7 -7.62 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 12.7 -5.08 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 12.7 -2.54 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 12.7 0 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 12.7 2.54 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 12.7 5.08 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 12.7 7.62 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 12.7 10.16 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -12.7 10.16 0) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "21" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -12.7 7.62 0) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "22" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -12.7 -5.08 0) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "23" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -12.7 -7.62 0) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "24" (effects (font (size 1.27 1.27))))
)
)
)
)
(junction (at 50.8 96.52) (diameter 0) (color 0 0 0 0)
(uuid 386ad9e3-71fa-420f-8722-88548b024fc5)
)
(junction (at 119.38 96.52) (diameter 0) (color 0 0 0 0)
(uuid 71af7b65-0e6b-402e-b1a4-b66be507b4dc)
)
(junction (at 50.8 99.06) (diameter 0) (color 0 0 0 0)
(uuid 8cb2cd3a-4ef9-4ae5-b6bc-2b1d16f657d6)
)
(junction (at 33.02 132.08) (diameter 0) (color 0 0 0 0)
(uuid 98861672-254d-432b-8e5a-10d885a5ffdc)
)
(junction (at 25.4 121.92) (diameter 0) (color 0 0 0 0)
(uuid a599509f-fbb9-4db4-9adf-9e96bab1138d)
)
(junction (at 58.42 88.9) (diameter 0) (color 0 0 0 0)
(uuid a7f2e97b-29f3-44fd-bf8a-97a3c1528b61)
)
(junction (at 25.4 132.08) (diameter 0) (color 0 0 0 0)
(uuid be41ac9e-b8ba-4089-983b-b84269707f1c)
)
(junction (at 116.84 93.98) (diameter 0) (color 0 0 0 0)
(uuid db851147-6a1e-4d19-898c-0ba71182359b)
)
(no_connect (at 48.26 111.76) (uuid 278a91dc-d57d-4a5c-a045-34b6bd84131f))
(no_connect (at 48.26 109.22) (uuid 98966de3-2364-43d8-a2e0-b03bb9487b03))
(bus_entry (at 271.78 132.08) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0b9f21ed-3d41-4f23-ae45-74117a5f3153)
)
(bus_entry (at 208.28 43.18) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0dfdfa9f-1e3f-4e14-b64b-12bde76a80c7)
)
(bus_entry (at 271.78 48.26) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 10d8ad0e-6a08-4053-92aa-23a15910fd21)
)
(bus_entry (at 208.28 132.08) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 10e52e95-44f3-4059-a86d-dcda603e0623)
)
(bus_entry (at 83.82 170.18) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 17ff35b3-d658-499b-9a46-ea36063fed4e)
)
(bus_entry (at 208.28 139.7) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 252f1275-081d-4d77-8bd5-3b9e6916ef42)
)
(bus_entry (at 271.78 139.7) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2b64d2cb-d62a-4762-97ea-f1b0d4293c4f)
)
(bus_entry (at 208.28 45.72) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3a41dd27-ec14-44d5-b505-aad1d829f79a)
)
(bus_entry (at 208.28 124.46) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3c8d03bf-f31d-4aa0-b8db-a227ffd7d8d6)
)
(bus_entry (at 208.28 142.24) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 62e8c4d4-266c-4e53-8981-1028251d724c)
)
(bus_entry (at 208.28 137.16) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6b91a3ee-fdcd-4bfe-ad57-c8d5ea9903a8)
)
(bus_entry (at 271.78 137.16) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6f580eb1-88cc-489d-a7ca-9efa5e590715)
)
(bus_entry (at 208.28 129.54) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 74f5ec08-7600-4a0b-a9e4-aae29f9ea08a)
)
(bus_entry (at 271.78 43.18) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 89a8e170-a222-41c0-b545-c9f4c5604011)
)
(bus_entry (at 271.78 50.8) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9529c01f-e1cd-40be-b7f0-83780a544249)
)
(bus_entry (at 208.28 38.1) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 98fe66f3-ec8b-4515-ae34-617f2124a7ec)
)
(bus_entry (at 271.78 45.72) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a76a574b-1cac-43eb-81e6-0e2e278cea39)
)
(bus_entry (at 83.82 175.26) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a917c6d9-225d-4c90-bf25-fe8eff8abd3f)
)
(bus_entry (at 208.28 134.62) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bd793ae5-cde5-43f6-8def-1f95f35b1be6)
)
(bus_entry (at 208.28 50.8) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c7df8431-dcf5-4ab4-b8f8-21c1cafc5246)
)
(bus_entry (at 83.82 172.72) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d13b0eae-4711-4325-a6bb-aa8e3646e86e)
)
(bus_entry (at 208.28 48.26) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d38aa458-d7c4-47af-ba08-2b6be506a3fd)
)
(bus_entry (at 271.78 134.62) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d68e5ddb-039c-483f-88a3-1b0b7964b482)
)
(bus_entry (at 208.28 53.34) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid dde8619c-5a8c-40eb-9845-65e6a654222d)
)
(bus_entry (at 208.28 127) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e70b6168-f98e-4322-bc55-500948ef7b77)
)
(bus_entry (at 208.28 40.64) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e7d81bce-286e-41e4-9181-3511e9c0455e)
)
(bus_entry (at 208.28 35.56) (size 2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fc3d51c1-8b35-4da3-a742-0ebe104989d7)
)
(wire (pts (xy 50.8 81.28) (xy 48.26 81.28))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 014d13cd-26ad-4d0e-86ad-a43b541cab14)
)
(wire (pts (xy 139.7 106.68) (xy 139.7 40.64))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 015f5586-ba76-4a98-9114-f5cd2c67134d)
)
(bus (pts (xy 208.28 45.72) (xy 208.28 48.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0177f950-24ed-4e6c-a9c9-460b1471f8c6)
)
(wire (pts (xy 251.46 127) (xy 251.46 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 02538207-54a8-4266-8d51-23871852b2ff)
)
(wire (pts (xy 121.92 81.28) (xy 121.92 38.1))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 02f8904b-a7b2-49dd-b392-764e7e29fb51)
)
(wire (pts (xy 251.46 38.1) (xy 251.46 43.18))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 051b8cb0-ae77-4e09-98a7-bf2103319e66)
)
(wire (pts (xy 154.94 139.7) (xy 154.94 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0554bea0-89b2-4e25-9ea3-4c73921c94cb)
)
(wire (pts (xy 109.22 175.26) (xy 116.84 175.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 05d3e08e-e1f9-46cf-93d0-836d1306d03a)
)
(wire (pts (xy 40.64 172.72) (xy 48.26 172.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 099473f1-6598-46ff-a50f-4c520832170d)
)
(bus (pts (xy 208.28 35.56) (xy 208.28 38.1))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0a2838e1-e0c9-49cd-aac8-b1c3dbe2c630)
)
(wire (pts (xy 48.26 99.06) (xy 50.8 99.06))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0cbeb329-a88d-4a47-a5c2-a1d693de2f8c)
)
(wire (pts (xy 271.78 137.16) (xy 261.62 137.16))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0d993e48-cea3-4104-9c5a-d8f97b64a3ac)
)
(wire (pts (xy 210.82 132.08) (xy 223.52 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0fc5db66-6188-4c1f-bb14-0868bef113eb)
)
(wire (pts (xy 149.86 86.36) (xy 165.1 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1317ff66-8ecf-46c9-9612-8d2eae03c537)
)
(bus (pts (xy 208.28 124.46) (xy 208.28 127))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 132f87af-fee0-4378-a134-77a68eb21f40)
)
(wire (pts (xy 68.58 55.88) (xy 68.58 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 13ac70df-e9b9-44e5-96e6-20f0b0dc6a3a)
)
(wire (pts (xy 210.82 127) (xy 223.52 127))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 142dd724-2a9f-4eea-ab21-209b1bc7ec65)
)
(wire (pts (xy 68.58 185.42) (xy 68.58 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 15699041-ed40-45ee-87d8-f5e206a88536)
)
(wire (pts (xy 210.82 129.54) (xy 223.52 129.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 15a82541-58d8-45b5-99c5-fb52e017e3ea)
)
(wire (pts (xy 149.86 119.38) (xy 149.86 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1755646e-fc08-4e43-a301-d9b3ea704cf6)
)
(bus (pts (xy 83.82 160.02) (xy 83.82 170.18))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1855ca44-ab48-4b76-a210-97fc81d916c4)
)
(wire (pts (xy 40.64 177.8) (xy 53.34 177.8))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1876c30c-72b2-4a8d-9f32-bf8b213530b4)
)
(wire (pts (xy 124.46 35.56) (xy 114.3 35.56))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 18f1018d-5857-4c32-a072-f3de80352f74)
)
(wire (pts (xy 48.26 175.26) (xy 53.34 175.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 199124ca-dd64-45cf-a063-97cc545cbea7)
)
(wire (pts (xy 50.8 172.72) (xy 53.34 172.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1bd80cf9-f42a-4aee-a408-9dbf4e81e625)
)
(wire (pts (xy 248.92 137.16) (xy 251.46 137.16))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1c9f6fea-1796-4a2d-80b3-ae22ce51c8f5)
)
(wire (pts (xy 20.32 129.54) (xy 20.32 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1cb22080-0f59-4c18-a6e6-8685ef44ec53)
)
(wire (pts (xy 162.56 58.42) (xy 162.56 78.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1cc5480b-56b7-4379-98e2-ccafc88911a7)
)
(bus (pts (xy 274.32 48.26) (xy 274.32 50.8))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1cdb16d2-4d33-4420-838f-4cd611017e11)
)
(wire (pts (xy 261.62 50.8) (xy 271.78 50.8))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 20901d7e-a300-4069-8967-a6a7e97a68bc)
)
(wire (pts (xy 210.82 55.88) (xy 223.52 55.88))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 20caf6d2-76a7-497e-ac56-f6d31eb9027b)
)
(wire (pts (xy 137.16 33.02) (xy 137.16 104.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 21492bcd-343a-4b2b-b55a-b4586c11bdeb)
)
(wire (pts (xy 55.88 88.9) (xy 58.42 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2165c9a4-eb84-4cb6-a870-2fdc39d2511b)
)
(wire (pts (xy 157.48 132.08) (xy 157.48 137.16))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 22962957-1efd-404d-83db-5b233b6c15b0)
)
(wire (pts (xy 25.4 132.08) (xy 25.4 129.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 235067e2-1686-40fe-a9a0-61704311b2b1)
)
(wire (pts (xy 68.58 86.36) (xy 76.2 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 24adc223-60f0-4497-98a3-d664c5a13280)
)
(wire (pts (xy 119.38 177.8) (xy 119.38 96.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2518d4ea-25cc-4e57-a0d6-8482034e7318)
)
(wire (pts (xy 96.52 180.34) (xy 93.98 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 254f7cc6-cee1-44ca-9afe-939b318201aa)
)
(wire (pts (xy 40.64 180.34) (xy 48.26 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 26a22c19-4cc5-4237-9651-0edc4f854154)
)
(bus (pts (xy 124.46 160.02) (xy 274.32 160.02))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 26bc8641-9bca-4204-9709-deedbe202a36)
)
(wire (pts (xy 162.56 96.52) (xy 162.56 129.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 275b6416-db29-42cc-9307-bf426917c3b4)
)
(wire (pts (xy 152.4 137.16) (xy 152.4 144.78))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 29126f72-63f7-4275-8b12-6b96a71c6f17)
)
(wire (pts (xy 58.42 86.36) (xy 58.42 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2de1ffee-2174-41d2-8969-68b8d21e5a7d)
)
(wire (pts (xy 165.1 147.32) (xy 149.86 147.32))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2ea8fa6f-efc3-40fe-bcf9-05bfa46ead4f)
)
(wire (pts (xy 210.82 53.34) (xy 223.52 53.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2f291a4b-4ecb-4692-9ad2-324f9784c0d4)
)
(wire (pts (xy 142.24 109.22) (xy 142.24 43.18))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2f424da3-8fae-4941-bc6d-20044787372f)
)
(wire (pts (xy 210.82 43.18) (xy 223.52 43.18))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 319639ae-c2c5-486d-93b1-d03bb1b64252)
)
(wire (pts (xy 25.4 132.08) (xy 33.02 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 31f91ec8-56e4-4e08-9ccd-012652772211)
)
(bus (pts (xy 274.32 45.72) (xy 274.32 48.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3457afc5-3e4f-4220-81d1-b079f653a722)
)
(wire (pts (xy 162.56 96.52) (xy 165.1 96.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 355ced6c-c08a-4586-9a09-7a9c624536f6)
)
(wire (pts (xy 248.92 48.26) (xy 251.46 48.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 35c09d1f-2914-4d1e-a002-df30af772f3b)
)
(bus (pts (xy 274.32 134.62) (xy 274.32 137.16))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 398d4152-46f4-447c-89e9-10ddc6f27876)
)
(bus (pts (xy 121.92 160.02) (xy 83.82 160.02))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3993c707-5291-41b6-83c0-d1c09cb3833a)
)
(wire (pts (xy 210.82 45.72) (xy 223.52 45.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3a70978e-dcc2-4620-a99c-514362812927)
)
(wire (pts (xy 71.12 187.96) (xy 71.12 177.8))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3b65c51e-c243-447e-bee9-832d94c1630e)
)
(wire (pts (xy 43.18 193.04) (xy 76.2 193.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3bbbbb7d-391c-4fee-ac81-3c47878edc38)
)
(wire (pts (xy 165.1 48.26) (xy 144.78 48.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3bca658b-a598-4669-a7cb-3f9b5f47bb5a)
)
(wire (pts (xy 162.56 129.54) (xy 165.1 129.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3c22d605-7855-4cc6-8ad2-906cadbd02dc)
)
(wire (pts (xy 114.3 88.9) (xy 129.54 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3d552623-2969-4b15-8623-368144f225e9)
)
(wire (pts (xy 210.82 134.62) (xy 223.52 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3d6cdd62-5634-4e30-acf8-1b9c1dbf6653)
)
(bus (pts (xy 208.28 137.16) (xy 208.28 139.7))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3fe9d150-a60b-45b7-ac68-9f7b4f02ad5a)
)
(wire (pts (xy 48.26 187.96) (xy 71.12 187.96))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 402c62e6-8d8e-473a-a0cf-2b86e4908cd7)
)
(wire (pts (xy 165.1 121.92) (xy 157.48 121.92))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4086cbd7-6ba7-4e63-8da9-17e60627ee17)
)
(wire (pts (xy 142.24 43.18) (xy 165.1 43.18))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 41485de5-6ed3-4c83-b69e-ef83ae18093c)
)
(wire (pts (xy 271.78 45.72) (xy 261.62 45.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 422b10b9-e829-44a2-8808-05edd8cb3050)
)
(wire (pts (xy 147.32 50.8) (xy 147.32 114.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 42d3f9d6-2a47-41a8-b942-295fcb83bcd8)
)
(wire (pts (xy 50.8 96.52) (xy 76.2 96.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4641c87c-bffa-41fe-ae77-be3a97a6f797)
)
(wire (pts (xy 154.94 119.38) (xy 154.94 127))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 465137b4-f6f7-4d51-9b40-b161947d5cc1)
)
(wire (pts (xy 114.3 106.68) (xy 139.7 106.68))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 46cbe85d-ff47-428e-b187-4ebd50a66e0c)
)
(wire (pts (xy 251.46 127) (xy 248.92 127))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 475ed8b3-90bf-48cd-bce5-d8f48b689541)
)
(bus (pts (xy 208.28 134.62) (xy 208.28 137.16))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 47fcfb41-b975-46db-99b6-8316554e9039)
)
(wire (pts (xy 76.2 193.04) (xy 76.2 172.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4a53fa56-d65b-42a4-a4be-8f49c4c015bb)
)
(wire (pts (xy 50.8 99.06) (xy 76.2 99.06))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4cc0e615-05a0-4f42-a208-4011ba8ef841)
)
(wire (pts (xy 96.52 177.8) (xy 86.36 177.8))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4cfd9a02-97ef-4af4-a6b8-db9be1a8fda5)
)
(wire (pts (xy 119.38 96.52) (xy 119.38 48.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4fd9bc4f-0ae3-42d4-a1b4-9fb1b2a0a7fd)
)
(wire (pts (xy 139.7 40.64) (xy 165.1 40.64))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 541721d1-074b-496e-a833-813044b3e8ca)
)
(wire (pts (xy 50.8 167.64) (xy 50.8 172.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 57f248a7-365e-4c42-b80d-5a7d1f9dfaf3)
)
(wire (pts (xy 73.66 190.5) (xy 73.66 175.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5bab6a37-1fdf-4cf8-b571-44c962ed86e9)
)
(bus (pts (xy 208.28 30.48) (xy 190.5 30.48))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5c7d6eaf-f256-4349-8203-d2e836872231)
)
(bus (pts (xy 208.28 30.48) (xy 208.28 35.56))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5e755161-24a5-4650-a6e3-9836bf074412)
)
(wire (pts (xy 33.02 132.08) (xy 33.02 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5e7c3a32-8dda-4e6a-9838-c94d1f165575)
)
(wire (pts (xy 248.92 48.26) (xy 248.92 53.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5f312b85-6822-40a3-b417-2df49696ca2d)
)
(wire (pts (xy 93.98 180.34) (xy 93.98 170.18))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5f48b0f2-82cf-40ce-afac-440f97643c36)
)
(wire (pts (xy 25.4 119.38) (xy 25.4 121.92))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5ff19d63-2cb4-438b-93c4-e66d37a05329)
)
(wire (pts (xy 76.2 172.72) (xy 66.04 172.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6150c02b-beb5-4af1-951e-3666a285a6ea)
)
(wire (pts (xy 20.32 121.92) (xy 25.4 121.92))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 616287d9-a51f-498c-8b91-be46a0aa3a7f)
)
(wire (pts (xy 210.82 48.26) (xy 223.52 48.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 62a1f3d4-027d-4ecf-a37a-6fcf4263e9d2)
)
(wire (pts (xy 76.2 88.9) (xy 66.04 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 631c7be5-8dc2-4df4-ab73-737bb928e763)
)
(wire (pts (xy 33.02 119.38) (xy 33.02 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 637f12be-fa48-4ce4-96b2-04c21a8795c8)
)
(wire (pts (xy 25.4 35.56) (xy 25.4 38.1))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6a2bcc72-047b-4846-8583-1109e3552669)
)
(bus (pts (xy 208.28 50.8) (xy 208.28 53.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6b406a64-323a-461d-b746-408d4b128e51)
)
(wire (pts (xy 109.22 177.8) (xy 119.38 177.8))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6bd46644-7209-4d4d-acd8-f4c0d045bc61)
)
(wire (pts (xy 50.8 88.9) (xy 48.26 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6cb93665-0bcd-4104-8633-fffd1811eee0)
)
(wire (pts (xy 48.26 93.98) (xy 50.8 93.98))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6d0c9e39-9878-44c8-8283-9a59e45006fa)
)
(wire (pts (xy 33.02 55.88) (xy 68.58 55.88))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6d2a06fb-0b1e-452a-ab38-11a5f45e1b32)
)
(wire (pts (xy 20.32 132.08) (xy 25.4 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 701e1517-e8cf-46f4-b538-98e721c97380)
)
(wire (pts (xy 73.66 175.26) (xy 66.04 175.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 706c1cb9-5d96-4282-9efc-6147f0125147)
)
(wire (pts (xy 248.92 144.78) (xy 251.46 144.78))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 73fbe87f-3928-49c2-bf87-839d907c6aef)
)
(wire (pts (xy 96.52 175.26) (xy 86.36 175.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 751d823e-1d7b-4501-9658-d06d459b0e16)
)
(wire (pts (xy 210.82 144.78) (xy 223.52 144.78))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 759788bd-3cb9-4d38-b58c-5cb10b7dca6b)
)
(bus (pts (xy 121.92 147.32) (xy 121.92 160.02))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 78b44915-d68e-4488-a873-34767153ef98)
)
(wire (pts (xy 114.3 96.52) (xy 119.38 96.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 799e761c-1426-40e9-a069-1f4cb353bfaa)
)
(wire (pts (xy 271.78 43.18) (xy 261.62 43.18))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7b766787-7689-40b8-9ef5-c0b1af45a9ae)
)
(wire (pts (xy 147.32 114.3) (xy 114.3 114.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7bea05d4-1dec-4cd6-aa53-302dde803254)
)
(wire (pts (xy 50.8 86.36) (xy 48.26 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7f2b3ce3-2f20-426d-b769-e0329b6a8111)
)
(wire (pts (xy 40.64 185.42) (xy 68.58 185.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 80095e91-6317-4cfb-9aea-884c9a1accc5)
)
(wire (pts (xy 50.8 101.6) (xy 48.26 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 810ed4ff-ffe2-4032-9af6-fb5ada3bae5b)
)
(wire (pts (xy 58.42 88.9) (xy 58.42 91.44))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 84d4e166-b429-409a-ab37-c6a10fd82ff5)
)
(wire (pts (xy 165.1 81.28) (xy 160.02 81.28))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 851f3d61-ba3b-4e6e-abd4-cafa4d9b64cb)
)
(wire (pts (xy 248.92 137.16) (xy 248.92 142.24))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 86ad0555-08b3-4dde-9a3e-c1e5e29b6615)
)
(wire (pts (xy 114.3 81.28) (xy 121.92 81.28))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 86e98417-f5e4-48ba-8147-ef66cc03dde6)
)
(wire (pts (xy 165.1 139.7) (xy 154.94 139.7))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 88606262-3ac5-44a1-aacc-18b26cf4d396)
)
(wire (pts (xy 40.64 175.26) (xy 45.72 175.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 88deea08-baa5-4041-beb7-01c299cf00e6)
)
(bus (pts (xy 114.3 144.78) (xy 124.46 144.78))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 89a3dae6-dcb5-435b-a383-656b6a19a316)
)
(wire (pts (xy 114.3 101.6) (xy 134.62 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8aeae536-fd36-430e-be47-1a856eced2fc)
)
(wire (pts (xy 152.4 88.9) (xy 165.1 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8aff0f38-92a8-45ec-b106-b185e93ca3fd)
)
(bus (pts (xy 208.28 132.08) (xy 208.28 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8b780154-3f92-431f-869f-e1b0c8ede39d)
)
(wire (pts (xy 114.3 83.82) (xy 124.46 83.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8bd46048-cab7-4adf-af9a-bc2710c1894c)
)
(wire (pts (xy 25.4 121.92) (xy 25.4 124.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8bdea5f6-7a53-427a-92b8-fd15994c2e8c)
)
(bus (pts (xy 274.32 137.16) (xy 274.32 139.7))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8cab69cb-5e51-457c-a7d0-f2fd58e50eb1)
)
(wire (pts (xy 154.94 134.62) (xy 114.3 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8d063f79-9282-4820-bcf4-1ff3c006cf08)
)
(wire (pts (xy 114.3 132.08) (xy 157.48 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8eb98c56-17e4-4de6-a3e3-06dcfa392040)
)
(bus (pts (xy 208.28 127) (xy 208.28 129.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8f09f6f6-ae9f-48a8-a016-487ae587cd06)
)
(wire (pts (xy 35.56 60.96) (xy 35.56 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 90f81af1-b6de-44aa-a46b-6504a157ce6c)
)
(wire (pts (xy 50.8 180.34) (xy 53.34 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9112ddd5-10d5-48b8-954f-f1d5adcacbd9)
)
(wire (pts (xy 157.48 129.54) (xy 114.3 129.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 91fc5800-6029-46b1-848d-ca0091f97267)
)
(wire (pts (xy 127 33.02) (xy 127 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 92848721-49b5-4e4c-b042-6fd51e1d562f)
)
(wire (pts (xy 66.04 88.9) (xy 66.04 58.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 929a9b03-e99e-4b88-8e16-759f8c6b59a5)
)
(wire (pts (xy 45.72 190.5) (xy 73.66 190.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 92f063a3-7cce-4a96-8a3a-cf5767f700c6)
)
(wire (pts (xy 137.16 104.14) (xy 114.3 104.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 96315415-cfed-47d2-b3dd-d782358bd0df)
)
(wire (pts (xy 68.58 180.34) (xy 66.04 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 968a6172-7a4e-40ab-a78a-e4d03671e136)
)
(wire (pts (xy 248.92 45.72) (xy 251.46 45.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 974c48bf-534e-4335-98e1-b0426c783e99)
)
(wire (pts (xy 271.78 48.26) (xy 261.62 48.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 99186658-0361-40ba-ae93-62f23c5622e6)
)
(wire (pts (xy 124.46 83.82) (xy 124.46 35.56))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 992a2b00-5e28-4edd-88b5-994891512d8d)
)
(wire (pts (xy 116.84 93.98) (xy 116.84 175.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 99e6b8eb-b08e-4d42-84dd-8b7f6765b7b7)
)
(wire (pts (xy 162.56 78.74) (xy 165.1 78.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9a8ad8bb-d9a9-4b2b-bc88-ea6fd2676d45)
)
(wire (pts (xy 50.8 93.98) (xy 50.8 96.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9c607e49-ee5c-4e85-a7da-6fede9912412)
)
(wire (pts (xy 152.4 144.78) (xy 165.1 144.78))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9da1ace0-4181-4f12-80f8-16786a9e5c07)
)
(wire (pts (xy 33.02 60.96) (xy 35.56 60.96))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9e0e6fc0-a269-4822-b93d-4c5e6689ff11)
)
(wire (pts (xy 43.18 170.18) (xy 43.18 193.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9ed09117-33cf-45a3-85a7-2606522feaf8)
)
(wire (pts (xy 71.12 177.8) (xy 66.04 177.8))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a177c3b4-b04c-490e-b3fe-d3d4d7aa24a7)
)
(wire (pts (xy 50.8 78.74) (xy 50.8 81.28))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a25b7e01-1754-4cc9-8a14-3d9c461e5af5)
)
(bus (pts (xy 83.82 170.18) (xy 83.82 172.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a4ed41dc-eb94-4e3f-a482-d012214b0b8a)
)
(wire (pts (xy 165.1 58.42) (xy 162.56 58.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a5362821-c161-4c7a-a00c-40e1d7472d56)
)
(wire (pts (xy 210.82 38.1) (xy 223.52 38.1))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a5c8e189-1ddc-4a66-984b-e0fd1529d346)
)
(wire (pts (xy 111.76 172.72) (xy 109.22 172.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid aa047297-22f8-4de0-a969-0b3451b8e164)
)
(wire (pts (xy 45.72 175.26) (xy 45.72 190.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ad4d05f5-6957-42f8-b65c-c657b9a26485)
)
(wire (pts (xy 114.3 137.16) (xy 152.4 137.16))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid af186015-d283-4209-aade-a247e5de01df)
)
(bus (pts (xy 274.32 53.34) (xy 274.32 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid af8dd2b5-fe96-4e7d-b033-f2275dd1d043)
)
(wire (pts (xy 114.3 93.98) (xy 116.84 93.98))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b0b4c3cb-e7ea-49c0-8162-be3bbab3e4ec)
)
(wire (pts (xy 271.78 139.7) (xy 261.62 139.7))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b12e5309-5d01-40ef-a9c3-8453e00a555e)
)
(bus (pts (xy 208.28 119.38) (xy 190.5 119.38))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b13e8448-bf35-4ec0-9c70-3f2250718cc2)
)
(bus (pts (xy 208.28 139.7) (xy 208.28 142.24))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b194a5f3-2ea8-4b52-992c-b4253723fa8f)
)
(wire (pts (xy 30.48 30.48) (xy 76.2 30.48))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b21299b9-3c4d-43df-b399-7f9b08eb5470)
)
(bus (pts (xy 274.32 50.8) (xy 274.32 53.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b48107f2-01f8-40cb-b4a6-8e23320c124a)
)
(bus (pts (xy 124.46 144.78) (xy 124.46 160.02))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b54cae5b-c17c-4ed7-b249-2e7d5e83609a)
)
(wire (pts (xy 116.84 93.98) (xy 116.84 45.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b794d099-f823-4d35-9755-ca1c45247ee9)
)
(wire (pts (xy 144.78 111.76) (xy 114.3 111.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b7aa0362-7c9e-4a42-b191-ab15a38bf3c5)
)
(wire (pts (xy 210.82 137.16) (xy 223.52 137.16))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bb59b92a-e4d0-4b9e-82cd-26304f5c15b8)
)
(wire (pts (xy 157.48 121.92) (xy 157.48 129.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bb8162f0-99c8-4884-be5b-c0d0c7e81ff6)
)
(wire (pts (xy 129.54 30.48) (xy 114.3 30.48))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bc3b3f93-69e0-44a5-b919-319b81d13095)
)
(wire (pts (xy 165.1 132.08) (xy 160.02 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bd085057-7c0e-463a-982b-968a2dc1f0f8)
)
(wire (pts (xy 248.92 134.62) (xy 251.46 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid be6b17f9-34f5-44e9-a4c7-725d2e274a9d)
)
(wire (pts (xy 144.78 48.26) (xy 144.78 111.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bef2abc2-bf3e-4a72-ad03-f8da3cd893cb)
)
(wire (pts (xy 127 86.36) (xy 114.3 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c07eebcc-30d2-439d-8030-faea6ade4486)
)
(wire (pts (xy 48.26 180.34) (xy 48.26 187.96))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c1b11207-7c0a-49b3-a41d-2fe677d5f3b8)
)
(wire (pts (xy 33.02 58.42) (xy 66.04 58.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c210293b-1d7a-4e96-92e9-058784106727)
)
(wire (pts (xy 160.02 93.98) (xy 165.1 93.98))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c2dd13db-24b6-40f1-b75b-b9ab893d92ea)
)
(wire (pts (xy 40.64 167.64) (xy 50.8 167.64))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c346b00c-b5e0-4939-beb4-7f48172ef334)
)
(wire (pts (xy 50.8 182.88) (xy 50.8 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c3d5daf8-d359-42b2-a7c2-0d080ba7e212)
)
(wire (pts (xy 160.02 132.08) (xy 160.02 93.98))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c66a19ed-90c0-4502-ae75-6a4c4ab9f297)
)
(bus (pts (xy 274.32 139.7) (xy 274.32 142.24))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c7be23ee-d023-4c4a-b6e8-adaba11d9f62)
)
(wire (pts (xy 160.02 81.28) (xy 160.02 55.88))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ca6e2466-a90a-4dab-be16-b070610e5087)
)
(wire (pts (xy 48.26 172.72) (xy 48.26 175.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ca9b74ce-0dee-401c-9544-f599f4cf538d)
)
(bus (pts (xy 208.28 43.18) (xy 208.28 45.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid cca0b0e2-0a56-4593-9fa4-93eeae0024e4)
)
(wire (pts (xy 157.48 137.16) (xy 165.1 137.16))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid cd1cff81-9d8a-4511-96d6-4ddb79484001)
)
(wire (pts (xy 271.78 134.62) (xy 261.62 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid cf21dfe3-ab4f-4ad9-b7cf-dc892d833b13)
)
(wire (pts (xy 114.3 109.22) (xy 142.24 109.22))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d05faa1f-5f69-41bf-86d3-2cd224432e1b)
)
(wire (pts (xy 160.02 55.88) (xy 165.1 55.88))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d18f2428-546f-4066-8ffb-7653303685db)
)
(wire (pts (xy 154.94 127) (xy 114.3 127))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d1cd5391-31d2-459f-8adb-4ae3f304a833)
)
(wire (pts (xy 40.64 182.88) (xy 50.8 182.88))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d3dd7cdb-b730-487d-804d-99150ba318ef)
)
(bus (pts (xy 274.32 142.24) (xy 274.32 160.02))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d7736178-3f8f-4e11-b01a-2888df5d3a8e)
)
(wire (pts (xy 165.1 119.38) (xy 154.94 119.38))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d8200a86-aa75-47a3-ad2a-7f4c9c999a6f)
)
(wire (pts (xy 149.86 139.7) (xy 114.3 139.7))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid da546d77-4b03-4562-8fc6-837fd68e7691)
)
(wire (pts (xy 114.3 33.02) (xy 127 33.02))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid db1ed10a-ef86-43bf-93dc-9be76327f6d2)
)
(wire (pts (xy 165.1 50.8) (xy 147.32 50.8))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid dd1edfbb-5fb6-42cd-b740-fd54ab3ef1f1)
)
(wire (pts (xy 251.46 144.78) (xy 251.46 139.7))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid dd334895-c8ff-4719-bac4-c0b289bb5899)
)
(wire (pts (xy 116.84 45.72) (xy 114.3 45.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid de370984-7922-4327-a0ba-7cd613995df4)
)
(bus (pts (xy 208.28 48.26) (xy 208.28 50.8))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid dee45564-193e-4636-abb7-756a4763f918)
)
(wire (pts (xy 251.46 38.1) (xy 248.92 38.1))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid df2a6036-7274-4398-9365-148b6ddab90d)
)
(wire (pts (xy 109.22 180.34) (xy 111.76 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid df3dc9a2-ba40-4c3a-87fe-61cc8e23d71b)
)
(wire (pts (xy 248.92 55.88) (xy 251.46 55.88))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e2b24e25-1a0d-434a-876b-c595b47d80d2)
)
(wire (pts (xy 149.86 147.32) (xy 149.86 139.7))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e2fac877-439c-4da0-af2e-5fdc70f85d42)
)
(wire (pts (xy 50.8 96.52) (xy 48.26 96.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e5e5220d-5b7e-47da-a902-b997ec8d4d58)
)
(wire (pts (xy 129.54 88.9) (xy 129.54 30.48))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e65bab67-68b7-4b22-a939-6f2c05164d2a)
)
(wire (pts (xy 119.38 48.26) (xy 114.3 48.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e69c64f9-717d-4a97-b3df-80325ec2fa63)
)
(wire (pts (xy 121.92 38.1) (xy 114.3 38.1))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e70d061b-28f0-4421-ad15-0598604086e8)
)
(bus (pts (xy 114.3 147.32) (xy 121.92 147.32))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e76ec524-408a-4daa-89f6-0edfdbcfb621)
)
(wire (pts (xy 111.76 170.18) (xy 111.76 172.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e79c8e11-ed47-4701-ae80-a54cdb6682a5)
)
(bus (pts (xy 208.28 119.38) (xy 208.28 124.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e86e4fae-9ca7-4857-a93c-bc6a3048f887)
)
(wire (pts (xy 55.88 86.36) (xy 58.42 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e87738fc-e372-4c48-9de9-398fd8b4874c)
)
(wire (pts (xy 111.76 180.34) (xy 111.76 182.88))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e87a6f80-914f-4f62-9c9f-9ba62a88ee3d)
)
(wire (pts (xy 40.64 170.18) (xy 43.18 170.18))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid eb391a95-1c1d-4613-b508-c76b8bc13a73)
)
(wire (pts (xy 134.62 101.6) (xy 134.62 30.48))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid eb473bfd-fc2d-4cf0-8714-6b7dd95b0a03)
)
(wire (pts (xy 114.3 121.92) (xy 152.4 121.92))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ef4533db-6ea4-4b68-b436-8e9575be570d)
)
(bus (pts (xy 208.28 129.54) (xy 208.28 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f259ca3b-859c-4918-af8a-6dbfc4c0de71)
)
(wire (pts (xy 248.92 40.64) (xy 248.92 45.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f28e56e7-283b-4b9a-ae27-95e89770fbf8)
)
(wire (pts (xy 50.8 99.06) (xy 50.8 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f345e52a-8e0a-425a-b438-90809dd3b799)
)
(wire (pts (xy 210.82 50.8) (xy 223.52 50.8))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f447e585-df78-4239-b8cb-4653b3837bb1)
)
(wire (pts (xy 210.82 142.24) (xy 223.52 142.24))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f44d04c5-0d17-4d52-8328-ef3b4fdfba5f)
)
(wire (pts (xy 248.92 129.54) (xy 248.92 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f56d244f-1fa4-4475-ac1d-f41eed31a48b)
)
(bus (pts (xy 208.28 40.64) (xy 208.28 43.18))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f5a63825-b5d0-4689-95d7-73382f27bff9)
)
(wire (pts (xy 152.4 121.92) (xy 152.4 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f5dba25f-5f9b-4770-84f9-c038fb119360)
)
(bus (pts (xy 208.28 38.1) (xy 208.28 40.64))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f672be3b-134d-4feb-9c3b-5858d9791437)
)
(wire (pts (xy 210.82 139.7) (xy 223.52 139.7))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f6983918-fe05-46ea-b355-bc522ec53440)
)
(bus (pts (xy 83.82 172.72) (xy 83.82 175.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f8886f77-d2a4-4a04-9b14-33f1def86a65)
)
(wire (pts (xy 20.32 124.46) (xy 20.32 121.92))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fa00d3f4-bb71-4b1d-aa40-ae9267e2c41f)
)
(wire (pts (xy 165.1 33.02) (xy 137.16 33.02))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fa20e708-ec85-4e0b-8402-f74a2724f920)
)
(wire (pts (xy 251.46 55.88) (xy 251.46 50.8))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fad4c712-0a2e-465d-a9f8-83d26bd66e37)
)
(wire (pts (xy 134.62 30.48) (xy 165.1 30.48))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fb35e3b1-aff6-41a7-9cf0-52694b95edeb)
)
(wire (pts (xy 96.52 172.72) (xy 86.36 172.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fc2e9f96-3bed-4896-b995-f56e799f1c77)
)
(wire (pts (xy 210.82 40.64) (xy 223.52 40.64))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fc4ad874-c922-4070-89f9-7262080469d8)
)
(wire (pts (xy 261.62 132.08) (xy 271.78 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fc83cd71-1198-4019-87a1-dc154bceead3)
)
(wire (pts (xy 114.3 119.38) (xy 149.86 119.38))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fd5f7d77-0f73-4021-88a8-0641f0fe8d98)
)
(label "e1A_rj5" (at 213.36 48.26 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 01f82238-6335-48fe-8b0a-6853e227345a)
)
(label "e1A_rj6" (at 213.36 50.8 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 0e249018-17e7-42b3-ae5d-5ebf3ae299ae)
)
(label "e1_led7" (at 264.16 43.18 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 123968c6-74e7-4754-8c36-08ea08e42555)
)
(label "gpio1" (at 86.36 175.26 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 12fa3c3f-3d14-451a-a6a8-884fd1b32fa7)
)
(label "e1A_rj2" (at 213.36 40.64 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 13bbfffc-affb-4b43-9eb1-f2ed90a8a919)
)
(label "e1_led1" (at 264.16 139.7 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 1ab71a3c-340b-469a-ada5-4f87f0b7b2fa)
)
(label "dbg_tx" (at 35.56 58.42 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 1b023dd4-5185-4576-b544-68a05b9c360b)
)
(label "e1_led5" (at 264.16 48.26 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 2c95b9a6-9c71-4108-9cde-57ddfdd2dd19)
)
(label "e1B_rj4" (at 213.36 134.62 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 52a8f1be-73ca-41a8-bc24-2320706b0ec1)
)
(label "e1A_rj7" (at 213.36 53.34 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 63489ebf-0f52-43a6-a0ab-158b1a7d4988)
)
(label "e1A_rj3" (at 213.36 43.18 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 71f8d568-0f23-4ff2-8e60-1600ce517a48)
)
(label "gpio[0..2]" (at 99.06 160.02 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 755f94aa-38f0-4a64-a7c7-6c71cb18cddf)
)
(label "usb_dn" (at 53.34 96.52 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 76afa8e0-9b3a-439d-843c-ad039d3b6354)
)
(label "e1A_rj4" (at 213.36 45.72 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 7c00778a-4692-4f9b-87d5-2d355077ce1e)
)
(label "e1B_rj7" (at 213.36 142.24 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 7c2008c8-0626-4a09-a873-065e83502a0e)
)
(label "e1A_rj[1..8]" (at 193.04 30.48 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 7c411b3e-aca2-424f-b644-2d21c9d80fa7)
)
(label "e1B_rj1" (at 213.36 127 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 7db990e4-92e1-4f99-b4d2-435bbec1ba83)
)
(label "e1_led4" (at 264.16 50.8 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 8486c294-aa7e-43c3-b257-1ca3356dd17a)
)
(label "e1B_rj2" (at 213.36 129.54 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 8efee08b-b92e-4ba6-8722-c058e18114fe)
)
(label "usb_dp" (at 53.34 99.06 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 946404ba-9297-43ec-9d67-30184041145f)
)
(label "e1A_rj1" (at 213.36 38.1 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 97581b9a-3f6b-4e88-8768-6fdb60e6aca6)
)
(label "e1_led[0..7]" (at 127 160.02 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 9c2999b2-1cf1-4204-9d23-243401b77aa3)
)
(label "dbg_rx" (at 35.56 55.88 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid a64aeb89-c24a-493b-9aab-87a6be930bde)
)
(label "e1_led2" (at 264.16 137.16 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid aee7520e-3bfc-435f-a66b-1dd1f5aa6a87)
)
(label "e1_led0" (at 264.16 132.08 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid c71f56c1-5b7c-4373-9716-fffac482104c)
)
(label "e1B_rj[1..8]" (at 193.04 119.38 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid cd5e758d-cb66-484a-ae8b-21f53ceee49e)
)
(label "e1B_rj6" (at 213.36 139.7 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid d102186a-5b58-41d0-9985-3dbb3593f397)
)
(label "gpio0" (at 86.36 172.72 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid d95c6650-fcd9-4184-97fe-fde43ea5c0cd)
)
(label "e1_led6" (at 264.16 45.72 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid dbe92a0d-89cb-4d3f-9497-c2c1d93a3018)
)
(label "e1B_rj3" (at 213.36 132.08 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid e300709f-6c72-488d-a598-efcbd6d3af54)
)
(label "e1B_rj5" (at 213.36 137.16 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid e36988d2-ecb2-461b-a443-7006f447e828)
)
(label "e1A_rj8" (at 213.36 55.88 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid e6d68f56-4a40-4849-b8d1-13d5ca292900)
)
(label "e1_led3" (at 264.16 134.62 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid ee29d712-3378-4507-a00b-003526b29bb1)
)
(label "gpio2" (at 86.36 177.8 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid f4a1ab68-998b-43e3-aa33-40b58210bc99)
)
(label "e1B_rj8" (at 213.36 144.78 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid f4a8afbe-ed68-4253-959f-6be4d2cbf8c5)
)
(symbol (lib_id "Connector:USB_C_Receptacle_USB2.0") (at 33.02 96.52 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f14d4f7)
(property "Reference" "X4" (id 0) (at 35.7378 74.4982 0))
(property "Value" "USB" (id 1) (at 35.7378 76.8096 0))
(property "Footprint" "s47-conn:USB_C_Receptacle_HRO_TYPE-C-31-M-12" (id 2) (at 36.83 96.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://www.usb.org/sites/default/files/documents/usb_type-c.zip" (id 3) (at 36.83 96.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MPN" "HRO_TYPE-C-31-M-12" (id 4) (at 33.02 96.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "A1" (uuid 8d32c496-aefd-4fdf-84e4-dbadc1032516))
(pin "A12" (uuid 784cc93f-258f-46f3-9fce-a31b79fdbe65))
(pin "A4" (uuid 7c0afdf4-d964-48cd-9f1b-43396f74b47f))
(pin "A5" (uuid db764ab3-0264-406a-a137-ad4cda0eacfe))
(pin "A6" (uuid e85ad6c6-5d55-43a7-bc4f-e6096e375cde))
(pin "A7" (uuid 8b2d9535-5db9-4e50-bbf5-feb5123e0c20))
(pin "A8" (uuid 9d432cc0-84e4-4801-b74a-6d1d1c8e0f6e))
(pin "A9" (uuid 34dc458d-d37d-4616-9c5f-baab0bb70d1c))
(pin "B1" (uuid 5ccf69d5-6f12-4f16-a367-3cbfffa3c674))
(pin "B12" (uuid 84bea409-f70d-4204-934d-2a137aabe4bd))
(pin "B4" (uuid a8753bd5-3134-4e0e-b3d6-b7b2cecc86f6))
(pin "B5" (uuid 3c36d103-4919-47d4-85f1-1f47b578be11))
(pin "B6" (uuid 7ee840c0-d28a-41fd-bfb5-5b3b3cc0fe58))
(pin "B7" (uuid 03427310-19fc-484f-b757-2939319bf146))
(pin "B8" (uuid 864e6b35-210d-4a96-aedc-39b4e8505783))
(pin "B9" (uuid 6c9ae9ef-c68c-4d59-a4f3-7036aea286a8))
(pin "S1" (uuid ca88c09b-3a08-4272-95a1-63f36c2b2d12))
)
(symbol (lib_id "s47-conn:AMPHENOL_RJHSE508102") (at 236.22 45.72 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f14f0a2)
(property "Reference" "X5" (id 0) (at 236.22 62.6618 0))
(property "Value" "E1" (id 1) (at 236.22 60.3504 0))
(property "Footprint" "Connector_RJ:RJ45_Amphenol_RJHSE538X-02" (id 2) (at 233.68 45.72 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/rjhsex08x02.pdf" (id 3) (at 233.68 45.72 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MPN" "AMPHENOL RJHSE508102" (id 4) (at 236.22 45.72 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 56e7e62d-423c-41dd-a039-1bf9db6f536e))
(pin "10" (uuid c59f7c10-88c3-41b5-893e-0e94f3460030))
(pin "11" (uuid 096691a8-ffb6-4d51-b7b2-a41f1d44707d))
(pin "12" (uuid 0d022d31-f074-436e-8a69-6ef706dd7630))
(pin "2" (uuid 5aeac1bd-a36c-4926-b80f-558014ee5ac7))
(pin "3" (uuid cc9da298-6cd2-4809-a2f5-ce304d1618b9))
(pin "4" (uuid aeb839b2-caa6-41dd-a923-5369a689a8bf))
(pin "5" (uuid 7840ce12-318c-42ae-9311-f2b9fbdce18e))
(pin "6" (uuid 86e8f4b0-192c-413e-a913-acff36a115dc))
(pin "7" (uuid 1456318a-f4ce-4f83-a74d-c395c7e2347c))
(pin "8" (uuid 15b081cf-5f34-4fde-93f8-72a4d0a95ad7))
(pin "9" (uuid 491916c7-61ba-43c2-bf27-c2d653232ebc))
(pin "13" (uuid 48ca55c5-3f47-4cf6-aefa-1b80bb996819))
(pin "14" (uuid 37c73496-1f16-44f0-a12a-74104085387c))
(pin "15" (uuid 5c808e9c-c970-4adb-9211-1f4251355dca))
(pin "16" (uuid c1865744-6d23-4683-b644-c7a2ab27f5cf))
(pin "17" (uuid 390aedb7-925d-41d7-88a5-613b425e8f08))
(pin "18" (uuid 01770b6d-eeb3-4d31-90f5-a9f6c7b9f7d3))
(pin "19" (uuid 61017093-b8aa-4bc4-bb26-18048e4590dd))
(pin "20" (uuid 0c7850d3-d11c-4298-9a7c-1e9de5f06ccc))
(pin "21" (uuid 5614abcb-4ad5-442c-9c61-600258ca9262))
(pin "22" (uuid c5416d35-c49c-4695-9b6e-b8775e0aa264))
(pin "23" (uuid 0ca35c42-1bf0-451b-ab93-2cf46e25797d))
(pin "24" (uuid 3b9f44e7-b30d-432c-9f55-d76731c1b34c))
)
(symbol (lib_id "Connector:RJ45") (at 30.48 177.8 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f26ef88)
(property "Reference" "X3" (id 0) (at 31.9278 160.8582 0))
(property "Value" "GPIO" (id 1) (at 31.9278 163.1696 0))
(property "Footprint" "Connector_RJ:RJ45_Amphenol_RJHSE5380" (id 2) (at 30.48 177.165 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 30.48 177.165 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "MPN" "Amphenol RJHSE5080" (id 4) (at 30.48 177.8 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 8b8c5538-9489-4bb6-8d36-d1d33fabc6df))
(pin "2" (uuid d7ec3d91-85a1-4208-9142-6c74e41a5499))
(pin "3" (uuid f64817a6-a48b-43cc-bedf-982e33014e24))
(pin "4" (uuid ea82795e-83d7-4af6-be29-9d638dd1a42e))
(pin "5" (uuid b38ab87e-e387-4450-8674-9e4e14fdc4aa))
(pin "6" (uuid 9e52e13a-a5c1-402e-97d1-5903d1359878))
(pin "7" (uuid b3791515-85aa-466b-b510-cb45412b4ef0))
(pin "8" (uuid 92ce9bbf-3190-4289-8be7-b57aca9a36b2))
)
(symbol (lib_id "s47-conn:AMPHENOL_RJHSE508102") (at 236.22 134.62 180) (unit 2)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f2825ac)
(property "Reference" "X5" (id 0) (at 236.22 151.5618 0))
(property "Value" "E1" (id 1) (at 236.22 149.2504 0))
(property "Footprint" "Connector_RJ:RJ45_Amphenol_RJHSE538X-02" (id 2) (at 233.68 134.62 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/rjhsex08x02.pdf" (id 3) (at 233.68 134.62 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MPN" "AMPHENOL RJHSE508102" (id 4) (at 236.22 134.62 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e362a8fc-5e5d-4acd-8b41-bfad9aa51322))
(pin "10" (uuid 94bae3f5-a768-40e3-bf51-57dcfab74a79))
(pin "11" (uuid 10fc9505-93b3-4b9c-909b-0746328a4487))
(pin "12" (uuid a8313d01-e135-4620-9878-e8dbc39c0ba5))
(pin "2" (uuid f2bd869c-a124-46e1-b1bb-f41d32c9f592))
(pin "3" (uuid 16b2c3b2-d450-4560-9b07-09543db458d6))
(pin "4" (uuid 3a9e2af1-a59f-49eb-b2cb-52e9d438d05c))
(pin "5" (uuid 1c644953-5949-4740-a04f-3df1ff156997))
(pin "6" (uuid 7532650e-796b-489d-b862-d119489eb47a))
(pin "7" (uuid 48259335-7023-43c7-a49d-b9836a28d1e8))
(pin "8" (uuid 57529548-d3ed-4327-b23f-3292d4b3f35c))
(pin "9" (uuid 62202545-c676-4c9c-9f83-7aae5f4582ce))
(pin "13" (uuid ca1b27aa-aab5-42b2-9fa3-0fc131d3f2ff))
(pin "14" (uuid 22805bc2-1c97-47df-b922-d4d81e255705))
(pin "15" (uuid 5a99edb4-f6ec-43da-993f-41c74b734eb2))
(pin "16" (uuid f026de2c-ea1b-4ba5-a128-e1d0fb911a2a))
(pin "17" (uuid 3a508e66-7614-4476-abf3-17524047663f))
(pin "18" (uuid 17f84e10-463d-4240-8bcc-accabed6b529))
(pin "19" (uuid df9251d1-2791-495c-88ae-17f4ab7cbffc))
(pin "20" (uuid f4c21c7f-e3bf-40ae-8c7d-da0678753f3d))
(pin "21" (uuid acb1dbe1-f2f8-4b07-a883-c6f79b7ed870))
(pin "22" (uuid 6474cb7a-e28d-4d38-9813-d71482ae8691))
(pin "23" (uuid 4a741c40-9f3d-4b07-b532-f82c67991319))
(pin "24" (uuid 0c97f3ed-a303-4931-a055-7d94d1861af0))
)
(symbol (lib_id "power:+5V") (at 50.8 78.74 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f2bd2fd)
(property "Reference" "#PWR04" (id 0) (at 50.8 82.55 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (id 1) (at 51.181 74.3458 0))
(property "Footprint" "" (id 2) (at 50.8 78.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 50.8 78.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 8b789a48-9048-49dd-aaba-b78e2c090bf8))
)
(symbol (lib_id "power:GND") (at 33.02 134.62 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f2be95b)
(property "Reference" "#PWR02" (id 0) (at 33.02 140.97 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 33.147 139.0142 0))
(property "Footprint" "" (id 2) (at 33.02 134.62 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 33.02 134.62 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 9e821859-68a4-4688-a3c0-74fa7e9f27a8))
)
(symbol (lib_id "Device:R_Small") (at 25.4 127 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f2c0111)
(property "Reference" "R1" (id 0) (at 26.8986 125.8316 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "91k" (id 1) (at 26.8986 128.143 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 25.4 127 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 25.4 127 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 5db9106d-b510-4112-a294-6e91b3b12ef0))
(pin "2" (uuid 21f40ef5-2b79-47e1-ae5a-adc59737da33))
)
(symbol (lib_id "Device:C_Small") (at 20.32 127 0) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f2c06b2)
(property "Reference" "C1" (id 0) (at 17.9832 125.8316 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Value" "100n" (id 1) (at 17.9832 128.143 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 20.32 127 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 20.32 127 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 723d0f41-9f1a-4b92-81f2-0c5d27dac038))
(pin "2" (uuid 65f7948b-aaca-4a4d-854e-d28bf7a350de))
)
(symbol (lib_id "power:GND") (at 58.42 91.44 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f2cad6a)
(property "Reference" "#PWR05" (id 0) (at 58.42 97.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 60.96 91.44 0))
(property "Footprint" "" (id 2) (at 58.42 91.44 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 58.42 91.44 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f67ec8d5-4fdb-4d3c-8eba-db9fddd171dd))
)
(symbol (lib_id "Device:R_Small") (at 53.34 86.36 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f2cb2e3)
(property "Reference" "R2" (id 0) (at 50.8 83.82 90))
(property "Value" "5k1" (id 1) (at 55.88 83.82 90))
(property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 53.34 86.36 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 53.34 86.36 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ad013ad4-ed51-48d7-b704-0aab45175ee5))
(pin "2" (uuid 5eb79219-df4c-4792-ba9a-599628e777f1))
)
(symbol (lib_id "Device:R_Small") (at 53.34 88.9 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f2cb885)
(property "Reference" "R3" (id 0) (at 50.8 91.44 90))
(property "Value" "5k1" (id 1) (at 55.88 91.44 90))
(property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 53.34 88.9 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 53.34 88.9 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a726ef49-e946-4671-9aa7-12936f51e590))
(pin "2" (uuid 8d6f89b2-661f-4af5-8bf7-b87f0c190823))
)
(symbol (lib_id "Connector:Conn_Coaxial") (at 25.4 30.48 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f2d90ea)
(property "Reference" "X1" (id 0) (at 22.86 31.115 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "GPS" (id 1) (at 22.86 33.4264 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "s47-conn:SMA_TEConnectivity_619540-1_Horizontal" (id 2) (at 25.4 30.48 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" " ~" (id 3) (at 25.4 30.48 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MPN" "TEConnectivity 619540-1" (id 4) (at 25.4 30.48 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid b8095f78-b7cb-4edb-8f3b-82dfbdf61388))
(pin "2" (uuid 823a394e-b38e-4083-b1dd-8627d89647a5))
)
(symbol (lib_id "power:GND") (at 25.4 38.1 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f2e3710)
(property "Reference" "#PWR01" (id 0) (at 25.4 44.45 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 25.527 42.4942 0))
(property "Footprint" "" (id 2) (at 25.4 38.1 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 25.4 38.1 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 16513962-623a-4494-912a-e58af61c3bdb))
)
(symbol (lib_id "Connector:AudioJack3") (at 27.94 58.42 0) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f33c437)
(property "Reference" "X2" (id 0) (at 27.4828 66.675 0))
(property "Value" "Serial" (id 1) (at 27.4828 64.3636 0))
(property "Footprint" "s47-conn:Jack_2.5mm_CUI_SJ-2523-SMT_Horizontal" (id 2) (at 27.94 58.42 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 27.94 58.42 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MPN" "CUI SJ-2523-SMT" (id 4) (at 27.94 58.42 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "R" (uuid e9629a79-543a-46d6-bb7e-5ba178b352c7))
(pin "S" (uuid 4548ddc3-68ad-4950-aa2c-d860ae7a6c9d))
(pin "T" (uuid d7167aa3-0660-4413-b335-0ee7290d821b))
)
(symbol (lib_id "power:GND") (at 35.56 63.5 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f35c170)
(property "Reference" "#PWR03" (id 0) (at 35.56 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 35.687 67.8942 0))
(property "Footprint" "" (id 2) (at 35.56 63.5 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 35.56 63.5 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d950c00d-feec-4b97-a4c1-3b2fcd4617ad))
)
(symbol (lib_id "Connector_Generic:Conn_02x04_Odd_Even") (at 60.96 177.8 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f363772)
(property "Reference" "J1" (id 0) (at 59.69 166.37 0))
(property "Value" "EXT_OUT" (id 1) (at 59.69 168.91 0))
(property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_2x04_P2.54mm_Vertical" (id 2) (at 60.96 177.8 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 60.96 177.8 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 843e0e9c-f1a6-4b20-a307-ec4b4be5d228))
(pin "2" (uuid 3e3bd736-6815-4e36-b4ff-ec6946affa05))
(pin "3" (uuid 9340ec07-4134-43d4-833c-cac82e152d15))
(pin "4" (uuid 0fe17daf-c3ef-4c62-9198-088f8f991691))
(pin "5" (uuid 4ee37a60-6d5d-4a9f-b9ef-7868ed81fb48))
(pin "6" (uuid 5bd3e810-31fc-488f-830a-dc0a36f7ca44))
(pin "7" (uuid 5f714629-119e-4043-afb5-5f3ff1088ecb))
(pin "8" (uuid 16d0b933-f9f7-4b03-8e78-9b4312677781))
)
(symbol (lib_id "Device:R_Pack04") (at 256.54 48.26 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f4bb9ce)
(property "Reference" "RN1" (id 0) (at 256.54 37.6682 90))
(property "Value" "33R" (id 1) (at 256.54 39.9796 90))
(property "Footprint" "Resistor_SMD:R_Array_Convex_4x0603" (id 2) (at 256.54 55.245 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 256.54 48.26 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 65956d5a-a340-4047-9c5e-1659dd51e261))
(pin "2" (uuid 8106fd66-bc80-4d2a-b2be-2261fed169ab))
(pin "3" (uuid 83fd63f3-6808-4503-b966-1d14f3f3c707))
(pin "4" (uuid fd93815a-a56b-40f2-b32e-c440b1344eef))
(pin "5" (uuid 56c0522a-cf22-4c9c-9c3f-257063a28f15))
(pin "6" (uuid ac354b18-d89c-4838-828b-cd0d35dcca60))
(pin "7" (uuid 6294d60a-5a6d-4e91-bd35-79741339d7e4))
(pin "8" (uuid efc7294c-8b08-4d64-abc9-e7eb15a89441))
)
(symbol (lib_id "Device:R_Pack04") (at 256.54 137.16 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f4bc470)
(property "Reference" "RN2" (id 0) (at 256.54 126.5682 90))
(property "Value" "33R" (id 1) (at 256.54 128.8796 90))
(property "Footprint" "Resistor_SMD:R_Array_Convex_4x0603" (id 2) (at 256.54 144.145 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 256.54 137.16 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c8faf8be-a91d-4275-a6ce-cab96688eb5d))
(pin "2" (uuid 0e0c4b92-4614-4ace-962a-0ad94374fcfa))
(pin "3" (uuid 2071d8b6-c4ef-4586-b13d-738c9496b95a))
(pin "4" (uuid a9dc1001-e1f8-4f20-9810-c0ec3d73fa2f))
(pin "5" (uuid 9596307b-6b55-4c4e-a79a-9ab939a1e968))
(pin "6" (uuid 34a01a16-c796-4af6-9068-4addae35fe3e))
(pin "7" (uuid f84570a5-cb5f-4776-b2c8-be7d8db6d4d8))
(pin "8" (uuid 9ce21d97-c0f1-41ba-8839-5a3d0209dec8))
)
(symbol (lib_id "power:+5V") (at 93.98 170.18 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f4c5366)
(property "Reference" "#PWR06" (id 0) (at 93.98 173.99 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (id 1) (at 94.361 165.7858 0))
(property "Footprint" "" (id 2) (at 93.98 170.18 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 93.98 170.18 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c1e5b0c9-899d-4846-9d68-18e82b95226e))
)
(symbol (lib_id "Connector_Generic:Conn_02x04_Odd_Even") (at 104.14 177.8 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f54c3eb)
(property "Reference" "J2" (id 0) (at 102.87 167.005 0))
(property "Value" "EXT_IN" (id 1) (at 102.87 169.3164 0))
(property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_2x04_P2.54mm_Vertical" (id 2) (at 104.14 177.8 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 104.14 177.8 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e2eb1d3c-c642-4dbd-b691-8f474f966c6b))
(pin "2" (uuid fe233554-9e68-4e89-a260-c4ae34a1e72a))
(pin "3" (uuid a961fe7d-1bdb-4f55-a6f1-b0ceb8f6f7c6))
(pin "4" (uuid debb6f2c-e8a0-4579-9efa-ddaae530a89e))
(pin "5" (uuid c020a7b6-0ee3-4b23-9d1d-b8f6fd7183f0))
(pin "6" (uuid d27ffdcf-de59-4226-978f-2f4505c7e171))
(pin "7" (uuid e33c45df-a51a-4575-bab3-09c4718b879d))
(pin "8" (uuid 023e222c-8f56-4876-9417-d71e5af17cfd))
)
(symbol (lib_id "power:GND") (at 111.76 182.88 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f6df7a7)
(property "Reference" "#PWR08" (id 0) (at 111.76 189.23 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 111.887 187.2742 0))
(property "Footprint" "" (id 2) (at 111.76 182.88 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 111.76 182.88 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 970072b5-2e92-4758-817c-aaaeb6bc3def))
)
(symbol (lib_id "power:+3V3") (at 111.76 170.18 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f6fa346)
(property "Reference" "#PWR07" (id 0) (at 111.76 173.99 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (id 1) (at 112.141 165.7858 0))
(property "Footprint" "" (id 2) (at 111.76 170.18 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 111.76 170.18 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f99d01cd-fc2a-4318-be97-23081cbac8d3))
)
(sheet (at 76.2 76.2) (size 38.1 76.2) (fields_autoplaced)
(stroke (width 0) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000))
(uuid 00000000-0000-0000-0000-00005f1321e5)
(property "Sheet name" "fpga" (id 0) (at 76.2 75.4884 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Sheet file" "fpga.kicad_sch" (id 1) (at 76.2 152.9846 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
(pin "e1A_tx_hi" output (at 114.3 101.6 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 9e813ec2-d4ce-4e2e-b379-c6fedb4c45db)
)
(pin "e1A_tx_lo" output (at 114.3 104.14 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 6325c32f-c82a-4357-b022-f9c7e76f412e)
)
(pin "e1B_tx_hi" output (at 114.3 127 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 18d11f32-e1a6-4f29-8e3c-0bfeb07299bd)
)
(pin "e1B_tx_lo" output (at 114.3 129.54 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid a90361cd-254c-4d27-ae1f-9a6c85bafe28)
)
(pin "e1A_rx_hi_p" input (at 114.3 106.68 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 84d296ba-3d39-4264-ad19-947f90c54396)
)
(pin "e1A_rx_hi_n" input (at 114.3 109.22 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 6afc19cf-38b4-47a3-bc2b-445b18724310)
)
(pin "e1A_rx_lo_p" input (at 114.3 111.76 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid fe14c012-3d58-4e5e-9a37-4b9765a7f764)
)
(pin "e1A_rx_lo_n" input (at 114.3 114.3 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid d01102e9-b170-4eb1-a0a4-9a31feb850b7)
)
(pin "e1B_rx_hi_p" input (at 114.3 132.08 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid c8a7af6e-c432-4fa3-91ee-c8bf0c5a9ebe)
)
(pin "e1B_rx_hi_n" input (at 114.3 134.62 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 91fe070a-a49b-4bc5-805a-42f23e10d114)
)
(pin "e1B_rx_lo_p" input (at 114.3 137.16 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 501880c3-8633-456f-9add-0e8fa1932ba6)
)
(pin "e1B_rx_lo_n" input (at 114.3 139.7 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid c454102f-dc92-4550-9492-797fc8e6b49c)
)
(pin "e1_rx_bias0" output (at 114.3 119.38 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 7a879184-fad8-4feb-afb5-86fe8d34f1f7)
)
(pin "e1_rx_bias1" output (at 114.3 121.92 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 528fd7da-c9a6-40ae-9f1a-60f6a7f4d534)
)
(pin "dbg_tx" output (at 76.2 88.9 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid e413cfad-d7bd-41ab-b8dd-4b67484671a6)
)
(pin "dbg_rx" input (at 76.2 86.36 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 18ca5aef-6a2c-41ac-9e7f-bf7acb716e53)
)
(pin "usb_dp" bidirectional (at 76.2 99.06 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid f9b1563b-384a-447c-9f47-736504e995c8)
)
(pin "usb_dn" bidirectional (at 76.2 96.52 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 03f57fb4-32a3-4bc6-85b9-fd8ece4a9592)
)
(pin "gps_pps" input (at 114.3 86.36 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid b78cb2c1-ae4b-4d9b-acd8-d7fe342342f2)
)
(pin "i2c_sda" bidirectional (at 114.3 93.98 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 90e761f6-1432-4f73-ad28-fa8869b7ec31)
)
(pin "i2c_scl" bidirectional (at 114.3 96.52 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 4431c0f6-83ea-4eee-95a8-991da2f03ccd)
)
(pin "gps_rx" input (at 114.3 81.28 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 24b72b0d-63b8-4e06-89d0-e94dcf39a600)
)
(pin "gps_tx" output (at 114.3 83.82 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid a6738794-75ae-48a6-8949-ed8717400d71)
)
(pin "~{gps_reset}" tri_state (at 114.3 88.9 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid d692b5e6-71b2-4fa6-bc83-618add8d8fef)
)
(pin "gpio[0..2]" bidirectional (at 114.3 147.32 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 1e48966e-d29d-4521-8939-ec8ac570431d)
)
(pin "e1_led[0..7]" output (at 114.3 144.78 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 07d160b6-23e1-4aa0-95cb-440482e6fc15)
)
)
(sheet (at 165.1 25.4) (size 25.4 38.1) (fields_autoplaced)
(stroke (width 0) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000))
(uuid 00000000-0000-0000-0000-00005f275ed4)
(property "Sheet name" "e1A" (id 0) (at 165.1 24.6884 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Sheet file" "e1if.kicad_sch" (id 1) (at 165.1 64.0846 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
(pin "rj[1..8]" passive (at 190.5 30.48 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 4a54c707-7b6f-4a3d-a74d-5e3526114aba)
)
(pin "tx_hi" input (at 165.1 30.48 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 4aa97874-2fd2-414c-b381-9420384c2fd8)
)
(pin "tx_lo" input (at 165.1 33.02 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 25bc3602-3fb4-4a04-94e3-21ba22562c24)
)
(pin "rx_lo_p" output (at 165.1 48.26 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 7760a75a-d74b-4185-b34e-cbc7b2c339b6)
)
(pin "rx_lo_n" output (at 165.1 50.8 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid c1bac86f-cbf6-4c5b-b60d-c26fa73d9c09)
)
(pin "rx_hi_p" output (at 165.1 40.64 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 283c990c-ae5a-4e41-a3ad-b40ca29fe90e)
)
(pin "rx_hi_n" output (at 165.1 43.18 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 49575217-40b0-4890-8acf-12982cca52b5)
)
(pin "rx_bias_p" input (at 165.1 55.88 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 4cafb73d-1ad8-4d24-acf7-63d78095ae46)
)
(pin "rx_bias_n" input (at 165.1 58.42 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid be4b72db-0e02-4d9b-844a-aff689b4e648)
)
)
(sheet (at 165.1 114.3) (size 25.4 38.1) (fields_autoplaced)
(stroke (width 0) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000))
(uuid 00000000-0000-0000-0000-00005f276580)
(property "Sheet name" "e1B" (id 0) (at 165.1 113.5884 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Sheet file" "e1if.kicad_sch" (id 1) (at 165.1 152.9846 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
(pin "rj[1..8]" passive (at 190.5 119.38 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid e50c80c5-80c4-46a3-8c1e-c9c3a71a0934)
)
(pin "tx_hi" input (at 165.1 119.38 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 7233cb6b-d8fd-4fcd-9b4f-8b0ed19b1b12)
)
(pin "tx_lo" input (at 165.1 121.92 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid df83f395-2d18-47e2-a370-952ca41c2b3a)
)
(pin "rx_lo_p" output (at 165.1 144.78 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 653a86ba-a1ae-4175-9d4c-c788087956d0)
)
(pin "rx_lo_n" output (at 165.1 147.32 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 3ed2c840-383d-4cbd-bc3b-c4ea4c97b333)
)
(pin "rx_hi_p" output (at 165.1 137.16 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 6a0919c2-460c-4229-b872-14e318e1ba8b)
)
(pin "rx_hi_n" output (at 165.1 139.7 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid d1c19c11-0a13-4237-b6b4-fb2ef1db7c6d)
)
(pin "rx_bias_p" input (at 165.1 129.54 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 29cbb0bc-f66b-4d11-80e7-5bb270e42496)
)
(pin "rx_bias_n" input (at 165.1 132.08 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid c401e9c6-1deb-4979-99be-7c801c952098)
)
)
(sheet (at 76.2 25.4) (size 38.1 38.1) (fields_autoplaced)
(stroke (width 0) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000))
(uuid 00000000-0000-0000-0000-00005f27e0f4)
(property "Sheet name" "gps" (id 0) (at 76.2 24.6884 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Sheet file" "gps.kicad_sch" (id 1) (at 76.2 64.0846 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
(pin "RF" input (at 76.2 30.48 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid da481376-0e49-44d3-91b8-aaa39b869dd1)
)
(pin "i2c_sda" bidirectional (at 114.3 45.72 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid f988d6ea-11c5-4837-b1d1-5c292ded50c6)
)
(pin "i2c_scl" bidirectional (at 114.3 48.26 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid d3e133b7-2c84-4206-a2b1-e693cb57fe56)
)
(pin "rx" input (at 114.3 35.56 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 9aaeec6e-84fe-4644-b0bc-5de24626ff48)
)
(pin "tx" output (at 114.3 38.1 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 2e0a9f64-1b78-4597-8d50-d12d2268a95a)
)
(pin "~{reset}" input (at 114.3 30.48 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 582622a2-fad4-4737-9a80-be9fffbba8ab)
)
(pin "pps" output (at 114.3 33.02 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 1dfbf353-5b24-4c0f-8322-8fcd514ae75e)
)
)
(sheet (at 139.7 165.1) (size 25.4 25.4) (fields_autoplaced)
(stroke (width 0) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000))
(uuid 00000000-0000-0000-0000-00005f2d7f29)
(property "Sheet name" "psu" (id 0) (at 139.7 164.3884 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Sheet file" "psu.kicad_sch" (id 1) (at 139.7 191.0846 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
)
(sheet (at 165.1 76.2) (size 25.4 25.4) (fields_autoplaced)
(stroke (width 0) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000))
(uuid 00000000-0000-0000-0000-00005f6ace9b)
(property "Sheet name" "e1bias" (id 0) (at 165.1 75.4884 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Sheet file" "e1bias.kicad_sch" (id 1) (at 165.1 102.1846 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
(pin "bias0" input (at 165.1 86.36 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 98970bf0-1168-4b4e-a1c9-3b0c8d7eaacf)
)
(pin "bias1" input (at 165.1 88.9 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid c67ad10d-2f75-4ec6-a139-47058f7f06b2)
)
(pin "bias_a_p" output (at 165.1 81.28 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 2a6075ae-c7fa-41db-86b8-3f996740bdc2)
)
(pin "bias_a_n" output (at 165.1 78.74 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 8f12311d-6f4c-4d28-a5bc-d6cb462bade7)
)
(pin "bias_b_p" output (at 165.1 96.52 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid db742b9e-1fed-4e0c-b783-f911ab5116aa)
)
(pin "bias_b_n" output (at 165.1 93.98 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 4344bc11-e822-474b-8d61-d12211e719b1)
)
)
(sheet_instances
(path "/" (page "1"))
(path "/00000000-0000-0000-0000-00005f1321e5" (page "2"))
(path "/00000000-0000-0000-0000-00005f275ed4" (page "3"))
(path "/00000000-0000-0000-0000-00005f276580" (page "4"))
(path "/00000000-0000-0000-0000-00005f6ace9b" (page "5"))
(path "/00000000-0000-0000-0000-00005f27e0f4" (page "6"))
(path "/00000000-0000-0000-0000-00005f2d7f29" (page "7"))
)
(symbol_instances
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f39c045"
(reference "#FLG01") (unit 1) (value "PWR_FLAG") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f6da120"
(reference "#FLG02") (unit 1) (value "PWR_FLAG") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f6d51cd"
(reference "#FLG03") (unit 1) (value "PWR_FLAG") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f39708f"
(reference "#FLG04") (unit 1) (value "PWR_FLAG") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f6d82e5"
(reference "#FLG05") (unit 1) (value "PWR_FLAG") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f2e3710"
(reference "#PWR01") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f2be95b"
(reference "#PWR02") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f35c170"
(reference "#PWR03") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f2bd2fd"
(reference "#PWR04") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f2cad6a"
(reference "#PWR05") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f4c5366"
(reference "#PWR06") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f6fa346"
(reference "#PWR07") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f6df7a7"
(reference "#PWR08") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f2ee21d"
(reference "#PWR09") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f2f4516"
(reference "#PWR010") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f2f503e"
(reference "#PWR011") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f2f521f"
(reference "#PWR012") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f2f821b"
(reference "#PWR013") (unit 1) (value "+1V2") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f135107"
(reference "#PWR014") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f30269a"
(reference "#PWR015") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f2e8e75"
(reference "#PWR016") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f51c59b"
(reference "#PWR017") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f516168"
(reference "#PWR018") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f98fc74"
(reference "#PWR019") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f39e1c8"
(reference "#PWR020") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f901b54"
(reference "#PWR021") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f8acc60"
(reference "#PWR022") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f89f281"
(reference "#PWR023") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f4e85e7"
(reference "#PWR024") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f385dad"
(reference "#PWR025") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f4108cd"
(reference "#PWR026") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f385c23"
(reference "#PWR027") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f369b3a"
(reference "#PWR028") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f3f147c"
(reference "#PWR029") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f31974e"
(reference "#PWR030") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f319485"
(reference "#PWR031") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f3298fe"
(reference "#PWR032") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f3295ea"
(reference "#PWR033") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f31a810"
(reference "#PWR034") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f31a9ca"
(reference "#PWR035") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f4ade15"
(reference "#PWR036") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f64cc0c"
(reference "#PWR037") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f64cef7"
(reference "#PWR038") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f691f95"
(reference "#PWR039") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f6923f7"
(reference "#PWR040") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f69c19e"
(reference "#PWR041") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f56863e"
(reference "#PWR042") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f567ef8"
(reference "#PWR043") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f37bb33"
(reference "#PWR044") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f341049"
(reference "#PWR045") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f2e5db9"
(reference "#PWR046") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f336762"
(reference "#PWR047") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f33d588"
(reference "#PWR048") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f33dc6f"
(reference "#PWR049") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f33dd47"
(reference "#PWR050") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f35197e"
(reference "#PWR051") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f34e154"
(reference "#PWR052") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f353c3f"
(reference "#PWR053") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f6ace9b/00000000-0000-0000-0000-00005f4e01fd"
(reference "#PWR054") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f6ace9b/00000000-0000-0000-0000-00005f4dfee3"
(reference "#PWR055") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f6ace9b/00000000-0000-0000-0000-00005f4dfc15"
(reference "#PWR056") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f6ace9b/00000000-0000-0000-0000-00005f4d8911"
(reference "#PWR057") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f6ace9b/00000000-0000-0000-0000-00005f4d8c18"
(reference "#PWR058") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f6d7a5f"
(reference "#PWR059") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f367087"
(reference "#PWR060") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f395396"
(reference "#PWR061") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f36617b"
(reference "#PWR062") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f366dae"
(reference "#PWR063") (unit 1) (value "+1V2") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f3954c5"
(reference "#PWR064") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f397752"
(reference "#PWR065") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f398205"
(reference "#PWR066") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f3664eb"
(reference "#PWR067") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f366894"
(reference "#PWR068") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f398486"
(reference "#PWR069") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f64cc0c"
(reference "#PWR070") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f64cef7"
(reference "#PWR071") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f691f95"
(reference "#PWR072") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f6923f7"
(reference "#PWR073") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f69c19e"
(reference "#PWR074") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f56863e"
(reference "#PWR075") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f567ef8"
(reference "#PWR076") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f34dae6"
(reference "BT1") (unit 1) (value "VL-1220/VCN") (footprint "Battery:Battery_Panasonic_CR1220-VCN_Vertical_CircularHoles")
)
(path "/00000000-0000-0000-0000-00005f2c06b2"
(reference "C1") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f2f0378"
(reference "C2") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f2f4a0a"
(reference "C3") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f2f4d71"
(reference "C4") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f2f8b03"
(reference "C5") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f2f9078"
(reference "C6") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f2f926f"
(reference "C7") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f2f95b4"
(reference "C8") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f90011b"
(reference "C9") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f37b51c"
(reference "C10") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f37ba68"
(reference "C11") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f36a640"
(reference "C12") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f31bf37"
(reference "C13") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f60cfaa"
(reference "C14") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f62f137"
(reference "C15") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f5728f2"
(reference "C16") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f573181"
(reference "C17") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f573016"
(reference "C18") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f572e64"
(reference "C19") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f69c2e4"
(reference "C20") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f33febe"
(reference "C21") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f337e6d"
(reference "C22") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f3311e6"
(reference "C23") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f337095"
(reference "C24") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f3521e0"
(reference "C25") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f6ace9b/00000000-0000-0000-0000-00005f4da17f"
(reference "C26") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f6ace9b/00000000-0000-0000-0000-00005f4d81da"
(reference "C27") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f6ace9b/00000000-0000-0000-0000-00005f4d8409"
(reference "C28") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f3676a9"
(reference "C29") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f367afb"
(reference "C30") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f367d86"
(reference "C31") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f368064"
(reference "C32") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f60cfaa"
(reference "C33") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f62f137"
(reference "C34") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f5728f2"
(reference "C35") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f573181"
(reference "C36") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f573016"
(reference "C37") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f572e64"
(reference "C38") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f69c2e4"
(reference "C39") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f27ace7"
(reference "D1") (unit 1) (value "STATUS") (footprint "s47-device:LED_RGB_2020")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f442b7a"
(reference "D2") (unit 1) (value "DONE") (footprint "LED_SMD:LED_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f37b3c0"
(reference "D3") (unit 1) (value "PESD0402-140") (footprint "Diode_SMD:D_0402_1005Metric")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f35aa23"
(reference "D4") (unit 1) (value "SDM02U30LP3") (footprint "Diode_SMD:D_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f356e84"
(reference "F1") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f357411"
(reference "F2") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f3575ee"
(reference "F3") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f357771"
(reference "F4") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f356e84"
(reference "F5") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f357411"
(reference "F6") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f3575ee"
(reference "F7") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f357771"
(reference "F8") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f363772"
(reference "J1") (unit 1) (value "EXT_OUT") (footprint "Connector_PinHeader_2.54mm:PinHeader_2x04_P2.54mm_Vertical")
)
(path "/00000000-0000-0000-0000-00005f54c3eb"
(reference "J2") (unit 1) (value "EXT_IN") (footprint "Connector_PinHeader_2.54mm:PinHeader_2x04_P2.54mm_Vertical")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f328580"
(reference "J3") (unit 1) (value "SPI") (footprint "Connector_PinHeader_2.54mm:PinHeader_2x04_P2.54mm_Vertical")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f3426b0"
(reference "J4") (unit 1) (value "NT/TE") (footprint "Connector_PinHeader_2.54mm:PinHeader_2x04_P2.54mm_Vertical")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f3426b0"
(reference "J5") (unit 1) (value "NT/TE") (footprint "Connector_PinHeader_2.54mm:PinHeader_2x04_P2.54mm_Vertical")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f34e744"
(reference "JP1") (unit 1) (value "BatBypass") (footprint "s47-misc:J_NC_0603_30")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/2fe05468-a358-4532-91bb-d12596f61ee3"
(reference "JP2") (unit 1) (value "GPS SDA") (footprint "s47-misc:J_0402")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/c5d67839-4777-45dd-9641-4c04e45579f7"
(reference "JP3") (unit 1) (value "GPS SCL") (footprint "s47-misc:J_0402")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f334ba8"
(reference "L1") (unit 1) (value "47n") (footprint "Inductor_SMD:L_0402_1005Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f27bdd2"
(reference "LP1") (unit 1) (value "LightPipe") (footprint "s47-misc:LUMEX_LPF-C011303S")
)
(path "/00000000-0000-0000-0000-00005f2c0111"
(reference "R1") (unit 1) (value "91k") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f2cb2e3"
(reference "R2") (unit 1) (value "5k1") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f2cb885"
(reference "R3") (unit 1) (value "5k1") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f2f9a9c"
(reference "R4") (unit 1) (value "120R") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f5242f9"
(reference "R5") (unit 1) (value "1k5") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f39dc9a"
(reference "R6") (unit 1) (value "5k1") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f4eade8"
(reference "R7") (unit 1) (value "1k5") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f39e0b2"
(reference "R8") (unit 1) (value "5k1") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f37c857"
(reference "R9") (unit 1) (value "91k") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f37c982"
(reference "R10") (unit 1) (value "1k5") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f37c202"
(reference "R11") (unit 1) (value "1k5") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f3ccc5e"
(reference "R12") (unit 1) (value "27R") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f692dea"
(reference "R13") (unit 1) (value "5k1") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f6930ae"
(reference "R14") (unit 1) (value "5k1") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f5702d7"
(reference "R15") (unit 1) (value "120R") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f3a466f"
(reference "R16") (unit 1) (value "27R") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f3a3e48"
(reference "R17") (unit 1) (value "27R") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f33f9b7"
(reference "R18") (unit 1) (value "10R") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f357044"
(reference "R19") (unit 1) (value "330R") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f6ace9b/00000000-0000-0000-0000-00005f4d7d0d"
(reference "R20") (unit 1) (value "0R") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f6ace9b/00000000-0000-0000-0000-00005f4e23e9"
(reference "R21") (unit 1) (value "0R") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f6ace9b/00000000-0000-0000-0000-00005f50bc9b"
(reference "R22") (unit 1) (value "0R") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f6ace9b/00000000-0000-0000-0000-00005f50bf56"
(reference "R23") (unit 1) (value "0R") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f692dea"
(reference "R24") (unit 1) (value "5k1") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f6930ae"
(reference "R25") (unit 1) (value "5k1") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f5702d7"
(reference "R26") (unit 1) (value "120R") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f3a466f"
(reference "R27") (unit 1) (value "27R") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f3a3e48"
(reference "R28") (unit 1) (value "27R") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/00000000-0000-0000-0000-00005f4bb9ce"
(reference "RN1") (unit 1) (value "33R") (footprint "Resistor_SMD:R_Array_Convex_4x0603")
)
(path "/00000000-0000-0000-0000-00005f4bc470"
(reference "RN2") (unit 1) (value "33R") (footprint "Resistor_SMD:R_Array_Convex_4x0603")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f522437"
(reference "RN3") (unit 1) (value "33R") (footprint "Resistor_SMD:R_Array_Convex_4x0603")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f3c8d1c"
(reference "RN4") (unit 1) (value "10k") (footprint "Resistor_SMD:R_Array_Convex_4x0603")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f57186e"
(reference "RN5") (unit 1) (value "10k") (footprint "Resistor_SMD:R_Array_Convex_4x0603")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f57271b"
(reference "RN5") (unit 2) (value "10k") (footprint "Resistor_SMD:R_Array_Convex_4x0603")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f572b9b"
(reference "RN5") (unit 3) (value "10k") (footprint "Resistor_SMD:R_Array_Convex_4x0603")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f572e8f"
(reference "RN5") (unit 4) (value "10k") (footprint "Resistor_SMD:R_Array_Convex_4x0603")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f77d81a"
(reference "RN6") (unit 1) (value "33R") (footprint "Resistor_SMD:R_Array_Convex_4x0603")
)
(path "/00000000-0000-0000-0000-00005f6ace9b/00000000-0000-0000-0000-00005f4dcadf"
(reference "RN7") (unit 1) (value "10k") (footprint "Resistor_SMD:R_Array_Convex_4x0603")
)
(path "/00000000-0000-0000-0000-00005f6ace9b/00000000-0000-0000-0000-00005f4dcf84"
(reference "RN7") (unit 2) (value "10k") (footprint "Resistor_SMD:R_Array_Convex_4x0603")
)
(path "/00000000-0000-0000-0000-00005f6ace9b/00000000-0000-0000-0000-00005f4dd9e1"
(reference "RN7") (unit 3) (value "10k") (footprint "Resistor_SMD:R_Array_Convex_4x0603")
)
(path "/00000000-0000-0000-0000-00005f6ace9b/00000000-0000-0000-0000-00005f4dd23c"
(reference "RN7") (unit 4) (value "10k") (footprint "Resistor_SMD:R_Array_Convex_4x0603")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f572e8f"
(reference "RN8") (unit 1) (value "10k") (footprint "Resistor_SMD:R_Array_Convex_4x0603")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f572b9b"
(reference "RN8") (unit 2) (value "10k") (footprint "Resistor_SMD:R_Array_Convex_4x0603")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f57271b"
(reference "RN8") (unit 3) (value "10k") (footprint "Resistor_SMD:R_Array_Convex_4x0603")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f57186e"
(reference "RN8") (unit 4) (value "10k") (footprint "Resistor_SMD:R_Array_Convex_4x0603")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f27d351"
(reference "SW1") (unit 1) (value "SW_Push") (footprint "s47-misc:SW_HYP_1TS003B")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f278eb6"
(reference "TR1") (unit 1) (value "PULSE_T1094NL") (footprint "s47-misc:PULSE_BH")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f27987d"
(reference "TR1") (unit 2) (value "PULSE_T1094NL") (footprint "s47-misc:PULSE_BH")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f278eb6"
(reference "TR2") (unit 1) (value "PULSE_T1094NL") (footprint "s47-misc:PULSE_BH")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f27987d"
(reference "TR2") (unit 2) (value "PULSE_T1094NL") (footprint "s47-misc:PULSE_BH")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f1398c4"
(reference "U1") (unit 1) (value "ICE40UP5K-SG48") (footprint "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.3x5.3mm")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f348d74"
(reference "U2") (unit 1) (value "IP4220CZ6") (footprint "Package_SO:TSOP-6_1.65x3.05mm_P0.95mm")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f7cc4ca"
(reference "U3") (unit 1) (value "74HC595") (footprint "Package_SO:TSSOP-16_4.4x5mm_P0.65mm")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f27df04"
(reference "U4") (unit 1) (value "30M72") (footprint "s47-misc:Oscillator_SMD_VCXO-6Pin_7.0x5.0mm_P2.54mm")
)
(path "/00000000-0000-0000-0000-00005f1321e5/00000000-0000-0000-0000-00005f13e7e1"
(reference "U5") (unit 1) (value "W25Q80") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f344468"
(reference "U6") (unit 1) (value "IP4220CZ6") (footprint "Package_SO:TSOP-6_1.65x3.05mm_P0.95mm")
)
(path "/00000000-0000-0000-0000-00005f275ed4/00000000-0000-0000-0000-00005f342f70"
(reference "U7") (unit 1) (value "IP4220CZ6") (footprint "Package_SO:TSOP-6_1.65x3.05mm_P0.95mm")
)
(path "/00000000-0000-0000-0000-00005f27e0f4/00000000-0000-0000-0000-00005f27f978"
(reference "U8") (unit 1) (value "MAX-M8W") (footprint "s47-misc:ublox_MAX")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f364b2a"
(reference "U9") (unit 1) (value "MIC5504-1.2YM5") (footprint "Package_TO_SOT_SMD:SOT-23-5")
)
(path "/00000000-0000-0000-0000-00005f2d7f29/00000000-0000-0000-0000-00005f36521a"
(reference "U10") (unit 1) (value "MIC5504-3.3YM5") (footprint "Package_TO_SOT_SMD:SOT-23-5")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f344468"
(reference "U11") (unit 1) (value "IP4220CZ6") (footprint "Package_SO:TSOP-6_1.65x3.05mm_P0.95mm")
)
(path "/00000000-0000-0000-0000-00005f276580/00000000-0000-0000-0000-00005f342f70"
(reference "U12") (unit 1) (value "IP4220CZ6") (footprint "Package_SO:TSOP-6_1.65x3.05mm_P0.95mm")
)
(path "/00000000-0000-0000-0000-00005f2d90ea"
(reference "X1") (unit 1) (value "GPS") (footprint "s47-conn:SMA_TEConnectivity_619540-1_Horizontal")
)
(path "/00000000-0000-0000-0000-00005f33c437"
(reference "X2") (unit 1) (value "Serial") (footprint "s47-conn:Jack_2.5mm_CUI_SJ-2523-SMT_Horizontal")
)
(path "/00000000-0000-0000-0000-00005f26ef88"
(reference "X3") (unit 1) (value "GPIO") (footprint "Connector_RJ:RJ45_Amphenol_RJHSE5380")
)
(path "/00000000-0000-0000-0000-00005f14d4f7"
(reference "X4") (unit 1) (value "USB") (footprint "s47-conn:USB_C_Receptacle_HRO_TYPE-C-31-M-12")
)
(path "/00000000-0000-0000-0000-00005f14f0a2"
(reference "X5") (unit 1) (value "E1") (footprint "Connector_RJ:RJ45_Amphenol_RJHSE538X-02")
)
(path "/00000000-0000-0000-0000-00005f2825ac"
(reference "X5") (unit 2) (value "E1") (footprint "Connector_RJ:RJ45_Amphenol_RJHSE538X-02")
)
)
)