/* * soc_bram.v * * vim: ts=4 sw=4 * * Copyright (C) 2020 Sylvain Munaut * SPDX-License-Identifier: CERN-OHL-P-2.0 */ `default_nettype none module soc_bram #( parameter integer AW = 8, parameter INIT_FILE = "" )( input wire [AW-1:0] addr, output reg [31:0] rdata, input wire [31:0] wdata, input wire [ 3:0] wmsk, input wire we, input wire clk ); (* no_rw_check *) reg [31:0] mem [0:(1<