hardware/icE1usb: Convert to kicad 6

Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
Change-Id: I6914fe05a149b8e3f4b5fb42cc6416aa15b4d367
This commit is contained in:
Sylvain Munaut 2022-09-05 22:28:52 +02:00
parent 9da5486e00
commit e695046981
18 changed files with 32476 additions and 14518 deletions

3
hardware/icE1usb/.gitignore vendored Normal file
View File

@ -0,0 +1,3 @@
fp-info-cache
*-backups/
*.kicad_prl

View File

@ -0,0 +1,817 @@
(kicad_sch (version 20211123) (generator eeschema)
(uuid 3785b88e-f652-4024-afb0-be4c22cdaea8)
(paper "A4")
(title_block
(title "icE1usb - E1 interface bias generation")
(date "2020-08-26")
(rev "1.0")
(comment 1 "CERN-OHL-S")
(comment 2 "(C) 2020 Sylvain Munaut")
)
(lib_symbols
(symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "C" (id 0) (at 0.254 1.778 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "C_Small" (id 1) (at 0.254 -2.032 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "capacitor cap" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Unpolarized capacitor, small symbol" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "C_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "C_Small_0_1"
(polyline
(pts
(xy -1.524 -0.508)
(xy 1.524 -0.508)
)
(stroke (width 0.3302) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -1.524 0.508)
(xy 1.524 0.508)
)
(stroke (width 0.3048) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "C_Small_1_1"
(pin passive line (at 0 2.54 270) (length 2.032)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -2.54 90) (length 2.032)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "R" (id 0) (at 0.762 0.508 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "R_Small" (id 1) (at 0.762 -1.016 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "R resistor" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Resistor, small symbol" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "R_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "R_Small_0_1"
(rectangle (start -0.762 1.778) (end 0.762 -1.778)
(stroke (width 0.2032) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "R_Small_1_1"
(pin passive line (at 0 2.54 270) (length 0.762)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -2.54 90) (length 0.762)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (id 1) (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+3V3_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "+3V3_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "+3V3" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "GND_0_1"
(polyline
(pts
(xy 0 0)
(xy 0 -1.27)
(xy 1.27 -1.27)
(xy 0 -2.54)
(xy -1.27 -1.27)
(xy 0 -1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "GND_1_1"
(pin power_in line (at 0 0 270) (length 0) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "s47-passive:R_Pack04_Split" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "RN" (id 0) (at 0.762 0.508 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "s47-passive_R_Pack04_Split" (id 1) (at 0.762 -1.016 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.524 1.524)))
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.524 1.524)))
)
(property "ki_fp_filters" "Resistor_* R_*" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "R_Pack04_Split_0_1"
(rectangle (start -0.762 1.778) (end 0.762 -1.778)
(stroke (width 0.2032) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "R_Pack04_Split_1_1"
(pin passive line (at 0 2.54 270) (length 0.762)
(name "~" (effects (font (size 1.016 1.016))))
(number "1" (effects (font (size 1.016 1.016))))
)
(pin passive line (at 0 -2.54 90) (length 0.762)
(name "~" (effects (font (size 1.016 1.016))))
(number "8" (effects (font (size 1.016 1.016))))
)
)
(symbol "R_Pack04_Split_2_1"
(pin passive line (at 0 2.54 270) (length 0.762)
(name "~" (effects (font (size 1.016 1.016))))
(number "2" (effects (font (size 1.016 1.016))))
)
(pin passive line (at 0 -2.54 90) (length 0.762)
(name "~" (effects (font (size 1.016 1.016))))
(number "7" (effects (font (size 1.016 1.016))))
)
)
(symbol "R_Pack04_Split_3_1"
(pin passive line (at 0 2.54 270) (length 0.762)
(name "~" (effects (font (size 1.016 1.016))))
(number "3" (effects (font (size 1.016 1.016))))
)
(pin passive line (at 0 -2.54 90) (length 0.762)
(name "~" (effects (font (size 1.016 1.016))))
(number "6" (effects (font (size 1.016 1.016))))
)
)
(symbol "R_Pack04_Split_4_1"
(pin passive line (at 0 2.54 270) (length 0.762)
(name "~" (effects (font (size 1.016 1.016))))
(number "4" (effects (font (size 1.016 1.016))))
)
(pin passive line (at 0 -2.54 90) (length 0.762)
(name "~" (effects (font (size 1.016 1.016))))
(number "5" (effects (font (size 1.016 1.016))))
)
)
)
)
(junction (at 127 63.5) (diameter 0) (color 0 0 0 0)
(uuid 086ab04d-4086-427c-992f-819b91a9021d)
)
(junction (at 134.62 63.5) (diameter 0) (color 0 0 0 0)
(uuid 0d678ff1-21aa-4e6f-ae06-abf24406f3c8)
)
(junction (at 76.2 114.3) (diameter 0) (color 0 0 0 0)
(uuid 42b7a68a-3837-4773-af68-a35059da48c3)
)
(junction (at 127 88.9) (diameter 0) (color 0 0 0 0)
(uuid 43b7aab0-ec9b-4c58-bfa1-8dda8fccb53f)
)
(junction (at 71.12 63.5) (diameter 0) (color 0 0 0 0)
(uuid 96815f61-f3f5-43c2-b68f-856577233f16)
)
(junction (at 76.2 88.9) (diameter 0) (color 0 0 0 0)
(uuid b7340f23-0eaa-48ae-aea8-b5b53a0ae99a)
)
(junction (at 76.2 63.5) (diameter 0) (color 0 0 0 0)
(uuid e8cb6cb3-dd2b-4328-8592-132e369ebb71)
)
(wire (pts (xy 76.2 88.9) (xy 76.2 91.44))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0667208e-872f-444a-9ed0-78a1b5f392d2)
)
(wire (pts (xy 139.7 139.7) (xy 101.6 139.7))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 08d1dac8-0d6e-4029-9a06-c8863d7fbd51)
)
(wire (pts (xy 76.2 63.5) (xy 76.2 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 098afe52-27f0-4ec0-bf39-4eb766d2a851)
)
(wire (pts (xy 71.12 63.5) (xy 76.2 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1558a593-7554-4709-a27f-f70400a2199d)
)
(wire (pts (xy 134.62 114.3) (xy 139.7 114.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 25b39db8-8576-4473-b331-b912323e85f4)
)
(wire (pts (xy 68.58 63.5) (xy 71.12 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2ff15691-c9f8-4e08-a694-3230522780fc)
)
(wire (pts (xy 127 93.98) (xy 127 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3c5840eb-164e-426c-ab78-faa89624b9dc)
)
(wire (pts (xy 76.2 121.92) (xy 76.2 124.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3f1d3b22-3ba1-4783-af8d-526bce7c36db)
)
(polyline (pts (xy 190.5 66.04) (xy 190.5 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 41fc1c23-edd4-45a5-8036-7f62b013770f)
)
(wire (pts (xy 68.58 114.3) (xy 76.2 114.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 449cc181-df4b-4d3b-93ef-0653c2171fe8)
)
(wire (pts (xy 116.84 63.5) (xy 101.6 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 51bdd1cb-8a01-4b1c-940a-3ff4dd1de87c)
)
(wire (pts (xy 50.8 88.9) (xy 63.5 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 524dc8d0-13b4-43fe-b274-8ac08bc4b894)
)
(wire (pts (xy 127 63.5) (xy 121.92 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 59246647-4e57-4b5f-9f1e-b0cc1fb90bb2)
)
(wire (pts (xy 127 88.9) (xy 139.7 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5968c877-7376-4e25-b8db-5e755d570d06)
)
(wire (pts (xy 127 68.58) (xy 127 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5aa0e472-160b-49ac-864f-0fa7cd9cf9b0)
)
(wire (pts (xy 76.2 71.12) (xy 76.2 73.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5b29962f-685a-409c-915c-9c4a92ed442a)
)
(wire (pts (xy 116.84 68.58) (xy 101.6 68.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6025c071-1487-4c03-a645-f67437519813)
)
(wire (pts (xy 121.92 88.9) (xy 127 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 67320774-1745-4c89-bec7-2213f7bb7ecc)
)
(wire (pts (xy 68.58 88.9) (xy 76.2 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7aad0cca-fb50-4041-9a10-5380cb0860ac)
)
(wire (pts (xy 71.12 63.5) (xy 71.12 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7cbc8c8d-fbc1-4902-ac93-6c241131aada)
)
(wire (pts (xy 60.96 60.96) (xy 60.96 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7cc510d9-2339-42a7-bb31-eff1142f0636)
)
(wire (pts (xy 76.2 96.52) (xy 76.2 99.06))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7fd11519-eb9e-4413-8ca2-e43e38c699f6)
)
(wire (pts (xy 71.12 71.12) (xy 71.12 73.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8e247c2e-b63e-4a70-8c32-64933e91ced0)
)
(wire (pts (xy 116.84 88.9) (xy 101.6 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 911557e5-adec-4d13-9794-a18b325eb4ea)
)
(wire (pts (xy 63.5 114.3) (xy 50.8 114.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 969d876f-dc87-40bf-9e96-03cbb9ea5e82)
)
(polyline (pts (xy 165.1 71.12) (xy 241.3 71.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9b4851fe-4e2f-4de0-a685-8e53004d88aa)
)
(wire (pts (xy 76.2 63.5) (xy 88.9 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9e5b0177-ea58-4f76-8b57-ff1c6e52d9df)
)
(wire (pts (xy 134.62 63.5) (xy 134.62 114.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a2c0fc07-9ed2-42e8-8fef-f02fce3412ee)
)
(wire (pts (xy 60.96 63.5) (xy 63.5 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a60f8360-f38f-439d-b446-391101ae4282)
)
(wire (pts (xy 127 63.5) (xy 134.62 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b79d8d99-88b5-4d84-a010-b6d768d67ec8)
)
(wire (pts (xy 121.92 93.98) (xy 127 93.98))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid cab0d0a9-e089-4f0b-8483-22b4e0addcae)
)
(wire (pts (xy 116.84 93.98) (xy 101.6 93.98))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d40ed1bf-6a69-492a-acf3-f71f1c7a81f2)
)
(wire (pts (xy 76.2 88.9) (xy 88.9 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid dfa2c928-7d9a-4cd3-90db-112716296421)
)
(wire (pts (xy 134.62 63.5) (xy 139.7 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e7c8f673-e523-47ce-91b8-92cf1c7605ce)
)
(wire (pts (xy 76.2 114.3) (xy 76.2 116.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid eec347af-8fb3-4b2d-8e93-6e7176516f57)
)
(wire (pts (xy 76.2 114.3) (xy 88.9 114.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f9e60890-c09c-4221-9409-43a2ec4885e8)
)
(wire (pts (xy 121.92 68.58) (xy 127 68.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ffde4898-4c0e-4c24-bd8c-aadcd7279172)
)
(text "B+" (at 218.44 68.58 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 00e39da0-4b3e-4884-a91e-86d729914953)
)
(text "Fixed" (at 218.44 83.82 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 0d32fbdb-2a37-4863-af10-fc85c1c6174f)
)
(text "Single Sensitivity\nDual Rail bias adjust" (at 167.64 76.2 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 18b6dcb6-5ab3-481b-b998-33e8cf6d281f)
)
(text "B-" (at 231.14 68.58 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 25ca9482-069d-43de-b77e-6f2ad77fa017)
)
(text "Bias 1" (at 231.14 73.66 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 539dec9e-2c45-4201-ab13-cbbbab8fc31b)
)
(text "A+" (at 193.04 68.58 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 6ceb10bf-4340-4309-8250-882c2b60a70e)
)
(text "Bias 0" (at 218.44 73.66 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 7308e13a-4809-4e8e-af65-9905819aa376)
)
(text "Bias 1" (at 231.14 83.82 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 75d5a810-84fd-42c4-a0b7-6b82d09662a2)
)
(text "Fixed" (at 193.04 83.82 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 7be13a36-eb8e-440f-aaac-2fd6665d9f61)
)
(text "Bias 0" (at 193.04 73.66 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 91c69423-de51-44fe-bc70-fec455b50634)
)
(text "A-" (at 205.74 68.58 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 946a171e-cd55-473d-bab9-8d2c7c34161c)
)
(text "Bias 0" (at 205.74 83.82 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid a072347a-1cac-4ead-8c61-cfe38fd40342)
)
(text "f_c = 16 Hz for single pole RC" (at 53.34 137.16 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid a6460cc6-b11c-4dff-a0ea-9de680e68ca8)
)
(text "Bias 1" (at 205.74 73.66 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid f58742f8-e57e-4646-a6f5-0463e0eceeb8)
)
(text "Dual Sensitivity\nSingle Rail bias adjust" (at 167.64 86.36 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid fa16f237-4e21-4b18-8c54-f7de4e62bbb6)
)
(label "bias_1" (at 101.6 93.98 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 054f8e07-0141-451f-a3c4-ea786b83b680)
)
(label "bias_fixed" (at 101.6 63.5 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 1cd85cce-d94a-4a92-8af2-23d3a2b66793)
)
(label "bias_1" (at 88.9 114.3 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 3d19e22b-2666-4e7d-825d-37a04ed07fa1)
)
(label "bias_1" (at 101.6 139.7 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 40962e92-90b6-487d-b0dc-0a6c42b5ebc2)
)
(label "bias_0" (at 101.6 68.58 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid a26bc030-7d8a-4b19-aa84-9206cc0de2b0)
)
(label "bias_0" (at 88.9 88.9 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid c374668c-56af-42dd-a650-35352e96de63)
)
(label "bias_0" (at 101.6 88.9 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid d66c8b0e-b6b3-43ea-8c6d-9724edcc57d6)
)
(label "bias_fixed" (at 88.9 63.5 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid f630bdcd-b048-45d2-91a0-928349b89dad)
)
(hierarchical_label "bias0" (shape input) (at 50.8 88.9 180)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 0fffb828-f291-41d3-a83c-4eaa3df13f3a)
)
(hierarchical_label "bias_b_p" (shape output) (at 139.7 114.3 0)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 1bb16fed-1537-47fa-90f6-8dc136da5d16)
)
(hierarchical_label "bias_a_n" (shape output) (at 139.7 88.9 0)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 45245258-c97a-4586-bc43-2154c85c0ef6)
)
(hierarchical_label "bias_a_p" (shape output) (at 139.7 63.5 0)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 72733f59-fc61-4ff2-8fe5-0440be71758a)
)
(hierarchical_label "bias_b_n" (shape output) (at 139.7 139.7 0)
(effects (font (size 1.27 1.27)) (justify left))
(uuid dd01ca49-c8a2-4580-af9a-2e9bce9769bc)
)
(hierarchical_label "bias1" (shape input) (at 50.8 114.3 180)
(effects (font (size 1.27 1.27)) (justify right))
(uuid f8e927af-4836-4b0f-8a57-dbca5a18a442)
)
(symbol (lib_id "Device:R_Small") (at 119.38 63.5 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f4d7d0d)
(property "Reference" "R20" (id 0) (at 119.38 58.5216 90))
(property "Value" "0R" (id 1) (at 119.38 60.833 90))
(property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 119.38 63.5 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 119.38 63.5 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 39dd64e4-af0d-4c99-bae8-be8cc86b1cb4))
(pin "2" (uuid 8520d116-3cd8-47fc-8007-e4ded6b6d0ac))
)
(symbol (lib_id "Device:C_Small") (at 76.2 93.98 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f4d81da)
(property "Reference" "C27" (id 0) (at 78.5368 92.8116 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1u" (id 1) (at 78.5368 95.123 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 76.2 93.98 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 76.2 93.98 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 33bbbb76-f346-4dc9-93fb-99322940ea78))
(pin "2" (uuid d2bc1ac5-6f08-47dc-abc6-8919b8ec5fba))
)
(symbol (lib_id "Device:C_Small") (at 76.2 119.38 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f4d8409)
(property "Reference" "C28" (id 0) (at 78.5368 118.2116 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1u" (id 1) (at 78.5368 120.523 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 76.2 119.38 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 76.2 119.38 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 94857e64-d8d9-4fa5-b0e6-9bce77f39a2f))
(pin "2" (uuid f0cd2f6a-13e3-4422-92eb-7464963ad5dd))
)
(symbol (lib_id "power:GND") (at 76.2 99.06 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f4d8911)
(property "Reference" "#PWR057" (id 0) (at 76.2 105.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 76.327 103.4542 0))
(property "Footprint" "" (id 2) (at 76.2 99.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 76.2 99.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f36fb098-4af1-4fc6-b63a-daf696aaba47))
)
(symbol (lib_id "power:GND") (at 76.2 124.46 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f4d8c18)
(property "Reference" "#PWR058" (id 0) (at 76.2 130.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 76.327 128.8542 0))
(property "Footprint" "" (id 2) (at 76.2 124.46 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 76.2 124.46 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d51356de-7a08-4f61-8d59-ec2de526438e))
)
(symbol (lib_id "Device:C_Small") (at 76.2 68.58 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f4da17f)
(property "Reference" "C26" (id 0) (at 78.5368 67.4116 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1u" (id 1) (at 78.5368 69.723 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 76.2 68.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 76.2 68.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f545883e-1c6b-4d7c-b4c7-981e804da4c4))
(pin "2" (uuid 2a6a4e4e-7b7a-48cf-b5e3-77a3f8724515))
)
(symbol (lib_id "s47-passive:R_Pack04_Split") (at 66.04 63.5 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f4dcadf)
(property "Reference" "RN7" (id 0) (at 66.04 58.5216 90))
(property "Value" "10k" (id 1) (at 66.04 60.833 90))
(property "Footprint" "Resistor_SMD:R_Array_Convex_4x0603" (id 2) (at 66.04 63.5 0)
(effects (font (size 1.524 1.524)) hide)
)
(property "Datasheet" "" (id 3) (at 66.04 63.5 0)
(effects (font (size 1.524 1.524)))
)
(pin "1" (uuid 35f3c689-1acd-4f9d-8a20-40aa0367785d))
(pin "8" (uuid 077d5522-c76b-482a-bb37-34c61cd35bac))
)
(symbol (lib_id "s47-passive:R_Pack04_Split") (at 71.12 68.58 0) (unit 2)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f4dcf84)
(property "Reference" "RN7" (id 0) (at 69.6214 67.4116 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Value" "10k" (id 1) (at 69.6214 69.723 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "Resistor_SMD:R_Array_Convex_4x0603" (id 2) (at 71.12 68.58 0)
(effects (font (size 1.524 1.524)) hide)
)
(property "Datasheet" "" (id 3) (at 71.12 68.58 0)
(effects (font (size 1.524 1.524)))
)
(pin "2" (uuid e4fcdd73-1b73-41d5-86e8-fa708452c6d3))
(pin "7" (uuid 3a3f9037-f8ab-4c3f-ac7e-8096ebf1654a))
)
(symbol (lib_id "s47-passive:R_Pack04_Split") (at 66.04 114.3 270) (unit 4)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f4dd23c)
(property "Reference" "RN7" (id 0) (at 66.04 109.3216 90))
(property "Value" "10k" (id 1) (at 66.04 111.633 90))
(property "Footprint" "Resistor_SMD:R_Array_Convex_4x0603" (id 2) (at 66.04 114.3 0)
(effects (font (size 1.524 1.524)) hide)
)
(property "Datasheet" "" (id 3) (at 66.04 114.3 0)
(effects (font (size 1.524 1.524)))
)
(pin "4" (uuid 390741a1-056f-4471-96af-67e5a38ee177))
(pin "5" (uuid 3df76baa-4dfb-41a2-92fb-21917056c777))
)
(symbol (lib_id "s47-passive:R_Pack04_Split") (at 66.04 88.9 270) (unit 3)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f4dd9e1)
(property "Reference" "RN7" (id 0) (at 66.04 83.9216 90))
(property "Value" "10k" (id 1) (at 66.04 86.233 90))
(property "Footprint" "Resistor_SMD:R_Array_Convex_4x0603" (id 2) (at 66.04 88.9 0)
(effects (font (size 1.524 1.524)) hide)
)
(property "Datasheet" "" (id 3) (at 66.04 88.9 0)
(effects (font (size 1.524 1.524)))
)
(pin "3" (uuid 20e5627d-98f3-42e2-b022-a05b56e0f484))
(pin "6" (uuid 292ad88c-c609-4a34-b081-e3c201e5b969))
)
(symbol (lib_id "power:GND") (at 76.2 73.66 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f4dfc15)
(property "Reference" "#PWR056" (id 0) (at 76.2 80.01 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 76.327 78.0542 0))
(property "Footprint" "" (id 2) (at 76.2 73.66 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 76.2 73.66 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f729dd27-4e07-452e-9fff-b04cfa035a63))
)
(symbol (lib_id "power:GND") (at 71.12 73.66 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f4dfee3)
(property "Reference" "#PWR055" (id 0) (at 71.12 80.01 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 71.247 78.0542 0))
(property "Footprint" "" (id 2) (at 71.12 73.66 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 71.12 73.66 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a201db9e-baf7-4871-be5a-ff795414340c))
)
(symbol (lib_id "power:+3V3") (at 60.96 60.96 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f4e01fd)
(property "Reference" "#PWR054" (id 0) (at 60.96 64.77 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (id 1) (at 61.341 56.5658 0))
(property "Footprint" "" (id 2) (at 60.96 60.96 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 60.96 60.96 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid fcbbeefe-3039-4d5e-b86c-9c72c7ae9bfc))
)
(symbol (lib_id "Device:R_Small") (at 119.38 68.58 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f4e23e9)
(property "Reference" "R21" (id 0) (at 119.38 71.2216 90))
(property "Value" "0R" (id 1) (at 119.38 73.533 90))
(property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 119.38 68.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 119.38 68.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "DNP" "DNP" (id 4) (at 119.38 75.8444 90))
(pin "1" (uuid cbe5ee8f-62c2-4029-9056-b2f9e38d44f7))
(pin "2" (uuid 1b39aee1-14bb-4f57-949c-af5946eea388))
)
(symbol (lib_id "Device:R_Small") (at 119.38 88.9 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f50bc9b)
(property "Reference" "R22" (id 0) (at 119.38 83.9216 90))
(property "Value" "0R" (id 1) (at 119.38 86.233 90))
(property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 119.38 88.9 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 119.38 88.9 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e5d566b5-604a-4348-bb23-43d85bcaf394))
(pin "2" (uuid 3f337261-bd0b-4a3c-af5d-41e4f20b8d2b))
)
(symbol (lib_id "Device:R_Small") (at 119.38 93.98 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f50bf56)
(property "Reference" "R23" (id 0) (at 119.38 96.6216 90))
(property "Value" "0R" (id 1) (at 119.38 98.933 90))
(property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 119.38 93.98 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 119.38 93.98 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "DNP" "DNP" (id 4) (at 119.38 101.2444 90))
(pin "1" (uuid 5c99a6ab-e247-47b9-aa50-cb98a25ea96f))
(pin "2" (uuid a6891bb5-a357-4c05-8e0e-ce8ff96bc5b7))
)
)

View File

@ -1,333 +0,0 @@
EESchema Schematic File Version 4
EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 5 7
Title "icE1usb - E1 interface bias generation"
Date "2020-08-26"
Rev "1.0"
Comp ""
Comment1 "CERN-OHL-S"
Comment2 "(C) 2020 Sylvain Munaut"
Comment3 ""
Comment4 ""
$EndDescr
Text HLabel 2000 3500 0 50 Input ~ 0
bias0
Text HLabel 2000 4500 0 50 Input ~ 0
bias1
Text HLabel 5500 2500 2 50 Output ~ 0
bias_a_p
Text HLabel 5500 3500 2 50 Output ~ 0
bias_a_n
Text HLabel 5500 4500 2 50 Output ~ 0
bias_b_p
Text HLabel 5500 5500 2 50 Output ~ 0
bias_b_n
$Comp
L Device:R_Small R20
U 1 1 5F4D7D0D
P 4700 2500
F 0 "R20" V 4504 2500 50 0000 C CNN
F 1 "0R" V 4595 2500 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" H 4700 2500 50 0001 C CNN
F 3 "~" H 4700 2500 50 0001 C CNN
1 4700 2500
0 1 1 0
$EndComp
$Comp
L Device:C_Small C27
U 1 1 5F4D81DA
P 3000 3700
F 0 "C27" H 3092 3746 50 0000 L CNN
F 1 "1u" H 3092 3655 50 0000 L CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 3000 3700 50 0001 C CNN
F 3 "~" H 3000 3700 50 0001 C CNN
1 3000 3700
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C28
U 1 1 5F4D8409
P 3000 4700
F 0 "C28" H 3092 4746 50 0000 L CNN
F 1 "1u" H 3092 4655 50 0000 L CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 3000 4700 50 0001 C CNN
F 3 "~" H 3000 4700 50 0001 C CNN
1 3000 4700
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR057
U 1 1 5F4D8911
P 3000 3900
F 0 "#PWR057" H 3000 3650 50 0001 C CNN
F 1 "GND" H 3005 3727 50 0000 C CNN
F 2 "" H 3000 3900 50 0001 C CNN
F 3 "" H 3000 3900 50 0001 C CNN
1 3000 3900
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR058
U 1 1 5F4D8C18
P 3000 4900
F 0 "#PWR058" H 3000 4650 50 0001 C CNN
F 1 "GND" H 3005 4727 50 0000 C CNN
F 2 "" H 3000 4900 50 0001 C CNN
F 3 "" H 3000 4900 50 0001 C CNN
1 3000 4900
1 0 0 -1
$EndComp
Wire Wire Line
3000 4800 3000 4900
Wire Wire Line
2700 4500 3000 4500
Wire Wire Line
3000 4500 3000 4600
Wire Wire Line
2500 4500 2000 4500
Wire Wire Line
2000 3500 2500 3500
Wire Wire Line
2700 3500 3000 3500
Wire Wire Line
3000 3500 3000 3600
Wire Wire Line
3000 3800 3000 3900
$Comp
L Device:C_Small C26
U 1 1 5F4DA17F
P 3000 2700
F 0 "C26" H 3092 2746 50 0000 L CNN
F 1 "1u" H 3092 2655 50 0000 L CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 3000 2700 50 0001 C CNN
F 3 "~" H 3000 2700 50 0001 C CNN
1 3000 2700
1 0 0 -1
$EndComp
$Comp
L s47-passive:R_Pack04_Split RN7
U 1 1 5F4DCADF
P 2600 2500
F 0 "RN7" V 2404 2500 50 0000 C CNN
F 1 "10k" V 2495 2500 50 0000 C CNN
F 2 "Resistor_SMD:R_Array_Convex_4x0603" H 2600 2500 60 0001 C CNN
F 3 "" H 2600 2500 60 0000 C CNN
1 2600 2500
0 1 1 0
$EndComp
$Comp
L s47-passive:R_Pack04_Split RN7
U 2 1 5F4DCF84
P 2800 2700
F 0 "RN7" H 2741 2746 50 0000 R CNN
F 1 "10k" H 2741 2655 50 0000 R CNN
F 2 "Resistor_SMD:R_Array_Convex_4x0603" H 2800 2700 60 0001 C CNN
F 3 "" H 2800 2700 60 0000 C CNN
2 2800 2700
1 0 0 -1
$EndComp
$Comp
L s47-passive:R_Pack04_Split RN7
U 4 1 5F4DD23C
P 2600 4500
F 0 "RN7" V 2404 4500 50 0000 C CNN
F 1 "10k" V 2495 4500 50 0000 C CNN
F 2 "Resistor_SMD:R_Array_Convex_4x0603" H 2600 4500 60 0001 C CNN
F 3 "" H 2600 4500 60 0000 C CNN
4 2600 4500
0 1 1 0
$EndComp
$Comp
L s47-passive:R_Pack04_Split RN7
U 3 1 5F4DD9E1
P 2600 3500
F 0 "RN7" V 2404 3500 50 0000 C CNN
F 1 "10k" V 2495 3500 50 0000 C CNN
F 2 "Resistor_SMD:R_Array_Convex_4x0603" H 2600 3500 60 0001 C CNN
F 3 "" H 2600 3500 60 0000 C CNN
3 2600 3500
0 1 1 0
$EndComp
Wire Wire Line
2700 2500 2800 2500
Wire Wire Line
3000 2500 3000 2600
Wire Wire Line
2800 2500 2800 2600
Connection ~ 2800 2500
Wire Wire Line
2800 2500 3000 2500
$Comp
L power:GND #PWR056
U 1 1 5F4DFC15
P 3000 2900
F 0 "#PWR056" H 3000 2650 50 0001 C CNN
F 1 "GND" H 3005 2727 50 0000 C CNN
F 2 "" H 3000 2900 50 0001 C CNN
F 3 "" H 3000 2900 50 0001 C CNN
1 3000 2900
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR055
U 1 1 5F4DFEE3
P 2800 2900
F 0 "#PWR055" H 2800 2650 50 0001 C CNN
F 1 "GND" H 2805 2727 50 0000 C CNN
F 2 "" H 2800 2900 50 0001 C CNN
F 3 "" H 2800 2900 50 0001 C CNN
1 2800 2900
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR054
U 1 1 5F4E01FD
P 2400 2400
F 0 "#PWR054" H 2400 2250 50 0001 C CNN
F 1 "+3V3" H 2415 2573 50 0000 C CNN
F 2 "" H 2400 2400 50 0001 C CNN
F 3 "" H 2400 2400 50 0001 C CNN
1 2400 2400
1 0 0 -1
$EndComp
Wire Wire Line
2400 2400 2400 2500
Wire Wire Line
2400 2500 2500 2500
Wire Wire Line
2800 2800 2800 2900
Wire Wire Line
3000 2800 3000 2900
$Comp
L Device:R_Small R21
U 1 1 5F4E23E9
P 4700 2700
F 0 "R21" V 4804 2700 50 0000 C CNN
F 1 "0R" V 4895 2700 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" H 4700 2700 50 0001 C CNN
F 3 "~" H 4700 2700 50 0001 C CNN
F 4 "DNP" V 4986 2700 50 0000 C CNN "DNP"
1 4700 2700
0 1 1 0
$EndComp
Text Notes 7600 2700 0 50 ~ 0
A+
Text Notes 8100 2700 0 50 ~ 0
A-
Text Notes 8600 2700 0 50 ~ 0
B+
Text Notes 9100 2700 0 50 ~ 0
B-
Text Notes 6600 3000 0 50 ~ 0
Single Sensitivity\nDual Rail bias adjust
Text Notes 6600 3400 0 50 ~ 0
Dual Sensitivity\nSingle Rail bias adjust
Text Notes 7600 3300 0 50 ~ 0
Fixed
Text Notes 8600 3300 0 50 ~ 0
Fixed
Text Notes 8100 3300 0 50 ~ 0
Bias 0
Text Notes 9100 3300 0 50 ~ 0
Bias 1
Text Notes 9100 2900 0 50 ~ 0
Bias 1
Text Notes 8600 2900 0 50 ~ 0
Bias 0
Text Notes 7600 2900 0 50 ~ 0
Bias 0
Text Notes 8100 2900 0 50 ~ 0
Bias 1
Wire Notes Line
6500 2800 9500 2800
Wire Notes Line
7500 2600 7500 3500
Wire Wire Line
3000 4500 3500 4500
Connection ~ 3000 4500
Wire Wire Line
3000 3500 3500 3500
Connection ~ 3000 3500
Wire Wire Line
3000 2500 3500 2500
Connection ~ 3000 2500
Text Label 3500 2500 2 50 ~ 0
bias_fixed
Text Label 3500 3500 2 50 ~ 0
bias_0
Wire Wire Line
5500 5500 4000 5500
Text Label 4000 5500 0 50 ~ 0
bias_1
Wire Wire Line
5300 4500 5500 4500
Wire Wire Line
4800 2700 5000 2700
Wire Wire Line
5000 2700 5000 2500
Connection ~ 5000 2500
Wire Wire Line
5000 2500 4800 2500
Wire Wire Line
4600 2500 4000 2500
Wire Wire Line
4600 2700 4000 2700
Wire Wire Line
5000 2500 5300 2500
Wire Wire Line
5300 2500 5300 4500
Connection ~ 5300 2500
Wire Wire Line
5300 2500 5500 2500
$Comp
L Device:R_Small R22
U 1 1 5F50BC9B
P 4700 3500
F 0 "R22" V 4504 3500 50 0000 C CNN
F 1 "0R" V 4595 3500 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" H 4700 3500 50 0001 C CNN
F 3 "~" H 4700 3500 50 0001 C CNN
1 4700 3500
0 1 1 0
$EndComp
Wire Wire Line
4600 3500 4000 3500
Wire Wire Line
4600 3700 4000 3700
Wire Wire Line
4800 3500 5000 3500
Wire Wire Line
4800 3700 5000 3700
Wire Wire Line
5000 3700 5000 3500
Connection ~ 5000 3500
Wire Wire Line
5000 3500 5500 3500
Text Label 4000 2500 0 50 ~ 0
bias_fixed
Text Label 4000 2700 0 50 ~ 0
bias_0
Text Label 4000 3500 0 50 ~ 0
bias_0
Text Label 3500 4500 2 50 ~ 0
bias_1
Text Label 4000 3700 0 50 ~ 0
bias_1
$Comp
L Device:R_Small R23
U 1 1 5F50BF56
P 4700 3700
F 0 "R23" V 4804 3700 50 0000 C CNN
F 1 "0R" V 4895 3700 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" H 4700 3700 50 0001 C CNN
F 3 "~" H 4700 3700 50 0001 C CNN
F 4 "DNP" V 4986 3700 50 0000 C CNN "DNP"
1 4700 3700
0 1 1 0
$EndComp
Text Notes 2100 5400 0 50 ~ 0
f_c = 16 Hz for single pole RC
$EndSCHEMATC

File diff suppressed because it is too large Load Diff

View File

@ -1,731 +0,0 @@
EESchema Schematic File Version 4
EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 3 7
Title "icE1usb - E1 interface"
Date "2020-08-26"
Rev "1.0"
Comp ""
Comment1 "CERN-OHL-S"
Comment2 "(C) 2020 Sylvain Munaut"
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L s47-misc:PULSE_T1094NL TR?
U 1 1 5F278EB6
P 6500 3100
AR Path="/5F278EB6" Ref="TR?" Part="1"
AR Path="/5F275ED4/5F278EB6" Ref="TR1" Part="1"
AR Path="/5F276580/5F278EB6" Ref="TR2" Part="1"
F 0 "TR1" H 6500 3525 50 0000 C CNN
F 1 "PULSE_T1094NL" H 6500 3434 50 0000 C CNN
F 2 "s47-misc:PULSE_BH" H 6500 3100 50 0001 C CNN
F 3 "https://productfinder.pulseeng.com/doc_type/WEB301/doc_num/T608/doc_part/T608.pdf" H 6500 3100 50 0001 C CNN
1 6500 3100
1 0 0 -1
$EndComp
$Comp
L s47-misc:PULSE_T1094NL TR?
U 2 1 5F27987D
P 6500 5100
AR Path="/5F27987D" Ref="TR?" Part="1"
AR Path="/5F275ED4/5F27987D" Ref="TR1" Part="2"
AR Path="/5F276580/5F27987D" Ref="TR2" Part="2"
F 0 "TR1" H 6500 5525 50 0000 C CNN
F 1 "PULSE_T1094NL" H 6500 5434 50 0000 C CNN
F 2 "s47-misc:PULSE_BH" H 6500 5100 50 0001 C CNN
F 3 "https://productfinder.pulseeng.com/doc_type/WEB301/doc_num/T608/doc_part/T608.pdf" H 6500 5100 50 0001 C CNN
2 6500 5100
1 0 0 -1
$EndComp
Text HLabel 10000 3000 2 50 UnSpc ~ 0
rj[1..8]
Wire Bus Line
10000 3000 9500 3000
$Comp
L Connector_Generic:Conn_02x04_Odd_Even J4
U 1 1 5F3426B0
P 7400 4000
AR Path="/5F275ED4/5F3426B0" Ref="J4" Part="1"
AR Path="/5F276580/5F3426B0" Ref="J5" Part="1"
F 0 "J4" H 7450 4350 50 0000 C CNN
F 1 "NT/TE" H 7450 4250 50 0000 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_2x04_P2.54mm_Vertical" H 7400 4000 50 0001 C CNN
F 3 "~" H 7400 4000 50 0001 C CNN
1 7400 4000
1 0 0 -1
$EndComp
$Comp
L s47-chips:IP4220CZ6 U7
U 1 1 5F342F70
P 8400 4000
AR Path="/5F275ED4/5F342F70" Ref="U7" Part="1"
AR Path="/5F276580/5F342F70" Ref="U12" Part="1"
F 0 "U7" H 8630 4046 50 0000 L CNN
F 1 "IP4220CZ6" H 8630 3955 50 0000 L CNN
F 2 "Package_SO:TSOP-6_1.65x3.05mm_P0.95mm" H 8450 4075 50 0001 C CNN
F 3 "https://assets.nexperia.com/documents/data-sheet/IP4220CZ6.pdf" H 8450 4075 50 0001 C CNN
1 8400 4000
1 0 0 -1
$EndComp
$Comp
L s47-chips:IP4220CZ6 U6
U 1 1 5F344468
P 6000 4000
AR Path="/5F275ED4/5F344468" Ref="U6" Part="1"
AR Path="/5F276580/5F344468" Ref="U11" Part="1"
F 0 "U6" H 6230 4046 50 0000 L CNN
F 1 "IP4220CZ6" H 6230 3955 50 0000 L CNN
F 2 "Package_SO:TSOP-6_1.65x3.05mm_P0.95mm" H 6050 4075 50 0001 C CNN
F 3 "https://assets.nexperia.com/documents/data-sheet/IP4220CZ6.pdf" H 6050 4075 50 0001 C CNN
1 6000 4000
1 0 0 -1
$EndComp
$Comp
L Device:Polyfuse_Small F1
U 1 1 5F356E84
P 9000 3500
AR Path="/5F275ED4/5F356E84" Ref="F1" Part="1"
AR Path="/5F276580/5F356E84" Ref="F5" Part="1"
F 0 "F1" V 8795 3500 50 0000 C CNN
F 1 "300mA" V 8886 3500 50 0000 C CNN
F 2 "Fuse:Fuse_0603_1608Metric" H 9050 3300 50 0001 L CNN
F 3 "~" H 9000 3500 50 0001 C CNN
F 4 "Littlefuse 0603L010" H 9000 3500 50 0001 C CNN "MPN"
1 9000 3500
0 1 1 0
$EndComp
$Comp
L Device:Polyfuse_Small F3
U 1 1 5F3575EE
P 9000 4400
AR Path="/5F275ED4/5F3575EE" Ref="F3" Part="1"
AR Path="/5F276580/5F3575EE" Ref="F7" Part="1"
F 0 "F3" V 8795 4400 50 0000 C CNN
F 1 "300mA" V 8886 4400 50 0000 C CNN
F 2 "Fuse:Fuse_0603_1608Metric" H 9050 4200 50 0001 L CNN
F 3 "~" H 9000 4400 50 0001 C CNN
F 4 "Littlefuse 0603L010" H 9000 4400 50 0001 C CNN "MPN"
1 9000 4400
0 1 1 0
$EndComp
$Comp
L Device:Polyfuse_Small F4
U 1 1 5F357771
P 9000 4500
AR Path="/5F275ED4/5F357771" Ref="F4" Part="1"
AR Path="/5F276580/5F357771" Ref="F8" Part="1"
F 0 "F4" V 9113 4500 50 0000 C CNN
F 1 "300mA" V 9204 4500 50 0000 C CNN
F 2 "Fuse:Fuse_0603_1608Metric" H 9050 4300 50 0001 L CNN
F 3 "~" H 9000 4500 50 0001 C CNN
F 4 "Littlefuse 0603L010" H 9000 4500 50 0001 C CNN "MPN"
1 9000 4500
0 1 1 0
$EndComp
Text HLabel 2000 4900 0 50 Input ~ 0
tx_hi
Text HLabel 2000 5300 0 50 Input ~ 0
tx_lo
Text HLabel 2000 3300 0 50 Output ~ 0
rx_lo_p
Text HLabel 2000 3700 0 50 Output ~ 0
rx_lo_n
Text HLabel 2000 2500 0 50 Output ~ 0
rx_hi_p
Text HLabel 2000 2900 0 50 Output ~ 0
rx_hi_n
$Comp
L Device:R_Small R17
U 1 1 5F3A3E48
P 5500 5300
AR Path="/5F275ED4/5F3A3E48" Ref="R17" Part="1"
AR Path="/5F276580/5F3A3E48" Ref="R28" Part="1"
F 0 "R17" V 5304 5300 50 0000 C CNN
F 1 "27R" V 5395 5300 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" H 5500 5300 50 0001 C CNN
F 3 "~" H 5500 5300 50 0001 C CNN
1 5500 5300
0 1 1 0
$EndComp
$Comp
L Device:R_Small R16
U 1 1 5F3A466F
P 5500 4900
AR Path="/5F275ED4/5F3A466F" Ref="R16" Part="1"
AR Path="/5F276580/5F3A466F" Ref="R27" Part="1"
F 0 "R16" V 5304 4900 50 0000 C CNN
F 1 "27R" V 5395 4900 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" H 5500 4900 50 0001 C CNN
F 3 "~" H 5500 4900 50 0001 C CNN
1 5500 4900
0 1 1 0
$EndComp
Text HLabel 2000 1500 0 50 Input ~ 0
rx_bias_p
Text HLabel 2000 1700 0 50 Input ~ 0
rx_bias_n
$Comp
L power:GND #PWR043
U 1 1 5F567EF8
P 6000 4300
AR Path="/5F275ED4/5F567EF8" Ref="#PWR043" Part="1"
AR Path="/5F276580/5F567EF8" Ref="#PWR076" Part="1"
F 0 "#PWR043" H 6000 4050 50 0001 C CNN
F 1 "GND" H 6005 4127 50 0000 C CNN
F 2 "" H 6000 4300 50 0001 C CNN
F 3 "" H 6000 4300 50 0001 C CNN
1 6000 4300
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR042
U 1 1 5F56863E
P 6000 3700
AR Path="/5F275ED4/5F56863E" Ref="#PWR042" Part="1"
AR Path="/5F276580/5F56863E" Ref="#PWR075" Part="1"
F 0 "#PWR042" H 6000 3550 50 0001 C CNN
F 1 "+3V3" H 6015 3873 50 0000 C CNN
F 2 "" H 6000 3700 50 0001 C CNN
F 3 "" H 6000 3700 50 0001 C CNN
1 6000 3700
1 0 0 -1
$EndComp
Wire Wire Line
6000 3700 6000 3800
Wire Wire Line
6000 4200 6000 4300
Entry Wire Line
9400 4500 9500 4400
Entry Wire Line
9400 3500 9500 3400
Entry Wire Line
9400 4400 9500 4300
Wire Wire Line
9400 4400 9100 4400
Wire Wire Line
9400 4500 9100 4500
Text Label 9300 3500 2 50 ~ 0
rj1
Text Label 9300 3600 2 50 ~ 0
rj2
Text Label 9300 4400 2 50 ~ 0
rj4
Text Label 9300 4500 2 50 ~ 0
rj5
$Comp
L Device:R_Small R15
U 1 1 5F5702D7
P 5500 3100
AR Path="/5F275ED4/5F5702D7" Ref="R15" Part="1"
AR Path="/5F276580/5F5702D7" Ref="R26" Part="1"
F 0 "R15" H 5558 3054 50 0000 L CNN
F 1 "120R" H 5558 3145 50 0000 L CNN
F 2 "Resistor_SMD:R_0603_1608Metric" H 5500 3100 50 0001 C CNN
F 3 "~" H 5500 3100 50 0001 C CNN
1 5500 3100
-1 0 0 1
$EndComp
Wire Wire Line
6300 2900 5900 2900
Wire Wire Line
6300 3300 6100 3300
Wire Wire Line
5500 3200 5500 3300
Connection ~ 5500 3300
Wire Wire Line
5500 3000 5500 2900
Connection ~ 5500 2900
$Comp
L Device:C_Small C16
U 1 1 5F5728F2
P 4700 2500
AR Path="/5F275ED4/5F5728F2" Ref="C16" Part="1"
AR Path="/5F276580/5F5728F2" Ref="C35" Part="1"
F 0 "C16" V 4471 2500 50 0000 C CNN
F 1 "100n" V 4562 2500 50 0000 C CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 4700 2500 50 0001 C CNN
F 3 "~" H 4700 2500 50 0001 C CNN
1 4700 2500
0 1 1 0
$EndComp
$Comp
L Device:C_Small C19
U 1 1 5F572E64
P 4700 3700
AR Path="/5F275ED4/5F572E64" Ref="C19" Part="1"
AR Path="/5F276580/5F572E64" Ref="C38" Part="1"
F 0 "C19" V 4471 3700 50 0000 C CNN
F 1 "100n" V 4562 3700 50 0000 C CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 4700 3700 50 0001 C CNN
F 3 "~" H 4700 3700 50 0001 C CNN
1 4700 3700
0 1 1 0
$EndComp
$Comp
L Device:C_Small C18
U 1 1 5F573016
P 4700 3300
AR Path="/5F275ED4/5F573016" Ref="C18" Part="1"
AR Path="/5F276580/5F573016" Ref="C37" Part="1"
F 0 "C18" V 4471 3300 50 0000 C CNN
F 1 "100n" V 4562 3300 50 0000 C CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 4700 3300 50 0001 C CNN
F 3 "~" H 4700 3300 50 0001 C CNN
1 4700 3300
0 1 1 0
$EndComp
$Comp
L Device:C_Small C17
U 1 1 5F573181
P 4700 2900
AR Path="/5F275ED4/5F573181" Ref="C17" Part="1"
AR Path="/5F276580/5F573181" Ref="C36" Part="1"
F 0 "C17" V 4471 2900 50 0000 C CNN
F 1 "100n" V 4562 2900 50 0000 C CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 4700 2900 50 0001 C CNN
F 3 "~" H 4700 2900 50 0001 C CNN
1 4700 2900
0 1 1 0
$EndComp
Wire Wire Line
6300 4900 6100 4900
Wire Wire Line
6300 5300 5900 5300
Wire Wire Line
5400 4900 2000 4900
Wire Wire Line
5400 5300 2000 5300
Text Notes 6800 1300 2 50 ~ 0
RX center tap bias to vcc/2\n
Wire Wire Line
5900 3800 5900 2900
Connection ~ 5900 2900
Wire Wire Line
5900 2900 5500 2900
Wire Wire Line
6100 3800 6100 3300
Connection ~ 6100 3300
Wire Wire Line
6100 3300 5500 3300
Wire Wire Line
5900 4200 5900 5300
Connection ~ 5900 5300
Wire Wire Line
5900 5300 5600 5300
Wire Wire Line
6100 4200 6100 4900
Connection ~ 6100 4900
Wire Wire Line
6100 4900 5600 4900
Wire Wire Line
6700 5300 7000 5300
Wire Wire Line
7000 5300 7000 4200
Wire Wire Line
7000 4200 7200 4200
Wire Wire Line
6900 4900 6900 4000
Wire Wire Line
6900 4000 7200 4000
Wire Wire Line
6700 4900 6900 4900
Wire Wire Line
7700 4100 7900 4100
Wire Wire Line
8000 3900 7700 3900
Wire Wire Line
7100 3900 7200 3900
Wire Wire Line
7900 4100 7900 3300
Wire Wire Line
8000 3900 8000 2900
Wire Wire Line
6700 3300 7900 3300
Wire Wire Line
6700 2900 8000 2900
Entry Wire Line
9400 3600 9500 3500
$Comp
L Device:Polyfuse_Small F2
U 1 1 5F357411
P 9000 3600
AR Path="/5F275ED4/5F357411" Ref="F2" Part="1"
AR Path="/5F276580/5F357411" Ref="F6" Part="1"
F 0 "F2" V 9113 3600 50 0000 C CNN
F 1 "300mA" V 9204 3600 50 0000 C CNN
F 2 "Fuse:Fuse_0603_1608Metric" H 9050 3400 50 0001 L CNN
F 3 "~" H 9000 3600 50 0001 C CNN
F 4 "Littlefuse 0603L010" H 9000 3600 50 0001 C CNN "MPN"
1 9000 3600
0 1 1 0
$EndComp
Wire Wire Line
8000 4500 8500 4500
Wire Wire Line
8000 4000 8000 4500
Wire Wire Line
8900 4400 8300 4400
Wire Wire Line
7900 4400 7900 4200
Wire Wire Line
7900 4200 7700 4200
Wire Wire Line
8900 3500 8300 3500
Wire Wire Line
8900 3600 8500 3600
Wire Wire Line
7100 3600 7100 3900
Wire Wire Line
8300 3800 8300 3500
Connection ~ 8300 3500
Wire Wire Line
8500 3800 8500 3600
Connection ~ 8500 3600
Wire Wire Line
8500 3600 7100 3600
Wire Wire Line
8300 4200 8300 4400
Connection ~ 8300 4400
Wire Wire Line
8300 4400 7900 4400
Wire Wire Line
8500 4200 8500 4500
Connection ~ 8500 4500
Wire Wire Line
8500 4500 8900 4500
Wire Wire Line
4800 2500 5100 2500
Wire Wire Line
5100 2500 5100 2900
Wire Wire Line
5100 2900 5500 2900
Wire Wire Line
4800 3300 4900 3300
Wire Wire Line
4800 2900 4900 2900
Wire Wire Line
4900 2900 4900 3300
Connection ~ 4900 3300
Wire Wire Line
4900 3300 5500 3300
Wire Wire Line
2000 2500 3400 2500
$Comp
L s47-passive:R_Pack04_Split RN8
U 1 1 5F57186E
P 3400 2000
AR Path="/5F276580/5F57186E" Ref="RN8" Part="4"
AR Path="/5F275ED4/5F57186E" Ref="RN5" Part="1"
F 0 "RN5" H 3459 2046 50 0000 L CNN
F 1 "10k" H 3459 1955 50 0000 L CNN
F 2 "Resistor_SMD:R_Array_Convex_4x0603" H 3459 1909 60 0001 L CNN
F 3 "" H 3400 2000 60 0001 C CNN
1 3400 2000
1 0 0 -1
$EndComp
$Comp
L s47-passive:R_Pack04_Split RN8
U 2 1 5F57271B
P 3700 2000
AR Path="/5F276580/5F57271B" Ref="RN8" Part="3"
AR Path="/5F275ED4/5F57271B" Ref="RN5" Part="2"
F 0 "RN5" H 3759 2046 50 0000 L CNN
F 1 "10k" H 3759 1955 50 0000 L CNN
F 2 "Resistor_SMD:R_Array_Convex_4x0603" H 3700 2000 60 0001 C CNN
F 3 "" H 3700 2000 60 0001 C CNN
2 3700 2000
1 0 0 -1
$EndComp
$Comp
L s47-passive:R_Pack04_Split RN8
U 3 1 5F572B9B
P 4000 2000
AR Path="/5F276580/5F572B9B" Ref="RN8" Part="2"
AR Path="/5F275ED4/5F572B9B" Ref="RN5" Part="3"
F 0 "RN5" H 4059 2046 50 0000 L CNN
F 1 "10k" H 4059 1955 50 0000 L CNN
F 2 "Resistor_SMD:R_Array_Convex_4x0603" H 4000 2000 60 0001 C CNN
F 3 "" H 4000 2000 60 0001 C CNN
3 4000 2000
1 0 0 -1
$EndComp
$Comp
L s47-passive:R_Pack04_Split RN8
U 4 1 5F572E8F
P 4300 2000
AR Path="/5F276580/5F572E8F" Ref="RN8" Part="1"
AR Path="/5F275ED4/5F572E8F" Ref="RN5" Part="4"
F 0 "RN5" H 4359 2046 50 0000 L CNN
F 1 "10k" H 4359 1955 50 0000 L CNN
F 2 "Resistor_SMD:R_Array_Convex_4x0603" H 4300 2000 60 0001 C CNN
F 3 "" H 4300 2000 60 0001 C CNN
4 4300 2000
1 0 0 -1
$EndComp
Wire Wire Line
3400 2100 3400 2500
Connection ~ 3400 2500
Wire Wire Line
3400 2500 4600 2500
Wire Wire Line
5100 2900 5100 3700
Wire Wire Line
5100 3700 4800 3700
Connection ~ 5100 2900
Wire Wire Line
2000 3300 4000 3300
Wire Wire Line
2000 3700 4300 3700
Wire Wire Line
2000 2900 3700 2900
Wire Wire Line
3700 2100 3700 2900
Connection ~ 3700 2900
Wire Wire Line
3700 2900 4600 2900
Wire Wire Line
4000 2100 4000 3300
Connection ~ 4000 3300
Wire Wire Line
4000 3300 4600 3300
Wire Wire Line
4300 2100 4300 3700
Connection ~ 4300 3700
Wire Wire Line
4300 3700 4600 3700
Wire Wire Line
3400 1900 3400 1500
Wire Wire Line
3400 1500 4000 1500
Wire Wire Line
4000 1500 4000 1900
Wire Wire Line
3700 1900 3700 1700
Wire Wire Line
3700 1700 4300 1700
Wire Wire Line
4300 1700 4300 1900
Wire Wire Line
3400 1500 2300 1500
Connection ~ 3400 1500
Wire Wire Line
3700 1700 2700 1700
Connection ~ 3700 1700
$Comp
L Device:C_Small C14
U 1 1 5F60CFAA
P 2300 2000
AR Path="/5F275ED4/5F60CFAA" Ref="C14" Part="1"
AR Path="/5F276580/5F60CFAA" Ref="C33" Part="1"
F 0 "C14" H 2392 2046 50 0000 L CNN
F 1 "100n" H 2392 1955 50 0000 L CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 2300 2000 50 0001 C CNN
F 3 "~" H 2300 2000 50 0001 C CNN
1 2300 2000
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C15
U 1 1 5F62F137
P 2700 2000
AR Path="/5F275ED4/5F62F137" Ref="C15" Part="1"
AR Path="/5F276580/5F62F137" Ref="C34" Part="1"
F 0 "C15" H 2792 2046 50 0000 L CNN
F 1 "100n" H 2792 1955 50 0000 L CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 2700 2000 50 0001 C CNN
F 3 "~" H 2700 2000 50 0001 C CNN
1 2700 2000
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR037
U 1 1 5F64CC0C
P 2300 2200
AR Path="/5F275ED4/5F64CC0C" Ref="#PWR037" Part="1"
AR Path="/5F276580/5F64CC0C" Ref="#PWR070" Part="1"
F 0 "#PWR037" H 2300 1950 50 0001 C CNN
F 1 "GND" H 2305 2027 50 0000 C CNN
F 2 "" H 2300 2200 50 0001 C CNN
F 3 "" H 2300 2200 50 0001 C CNN
1 2300 2200
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR038
U 1 1 5F64CEF7
P 2700 2200
AR Path="/5F275ED4/5F64CEF7" Ref="#PWR038" Part="1"
AR Path="/5F276580/5F64CEF7" Ref="#PWR071" Part="1"
F 0 "#PWR038" H 2700 1950 50 0001 C CNN
F 1 "GND" H 2705 2027 50 0000 C CNN
F 2 "" H 2700 2200 50 0001 C CNN
F 3 "" H 2700 2200 50 0001 C CNN
1 2700 2200
1 0 0 -1
$EndComp
Wire Wire Line
2300 1500 2300 1900
Connection ~ 2300 1500
Wire Wire Line
2300 1500 2000 1500
Wire Wire Line
2700 1700 2700 1900
Connection ~ 2700 1700
Wire Wire Line
2700 1700 2000 1700
Wire Wire Line
2300 2100 2300 2200
Wire Wire Line
2700 2100 2700 2200
$Comp
L power:+3V3 #PWR039
U 1 1 5F691F95
P 5500 1200
AR Path="/5F275ED4/5F691F95" Ref="#PWR039" Part="1"
AR Path="/5F276580/5F691F95" Ref="#PWR072" Part="1"
F 0 "#PWR039" H 5500 1050 50 0001 C CNN
F 1 "+3V3" H 5515 1373 50 0000 C CNN
F 2 "" H 5500 1200 50 0001 C CNN
F 3 "" H 5500 1200 50 0001 C CNN
1 5500 1200
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR040
U 1 1 5F6923F7
P 5500 2000
AR Path="/5F275ED4/5F6923F7" Ref="#PWR040" Part="1"
AR Path="/5F276580/5F6923F7" Ref="#PWR073" Part="1"
F 0 "#PWR040" H 5500 1750 50 0001 C CNN
F 1 "GND" H 5505 1827 50 0000 C CNN
F 2 "" H 5500 2000 50 0001 C CNN
F 3 "" H 5500 2000 50 0001 C CNN
1 5500 2000
1 0 0 -1
$EndComp
$Comp
L Device:R_Small R13
U 1 1 5F692DEA
P 5500 1400
AR Path="/5F275ED4/5F692DEA" Ref="R13" Part="1"
AR Path="/5F276580/5F692DEA" Ref="R24" Part="1"
F 0 "R13" H 5558 1354 50 0000 L CNN
F 1 "5k1" H 5558 1445 50 0000 L CNN
F 2 "Resistor_SMD:R_0603_1608Metric" H 5500 1400 50 0001 C CNN
F 3 "~" H 5500 1400 50 0001 C CNN
1 5500 1400
-1 0 0 1
$EndComp
$Comp
L Device:R_Small R14
U 1 1 5F6930AE
P 5500 1800
AR Path="/5F275ED4/5F6930AE" Ref="R14" Part="1"
AR Path="/5F276580/5F6930AE" Ref="R25" Part="1"
F 0 "R14" H 5558 1754 50 0000 L CNN
F 1 "5k1" H 5558 1845 50 0000 L CNN
F 2 "Resistor_SMD:R_0603_1608Metric" H 5500 1800 50 0001 C CNN
F 3 "~" H 5500 1800 50 0001 C CNN
1 5500 1800
-1 0 0 1
$EndComp
Wire Wire Line
5500 1200 5500 1300
Wire Wire Line
5500 1500 5500 1600
Wire Wire Line
5500 1900 5500 2000
$Comp
L power:GND #PWR041
U 1 1 5F69C19E
P 5800 2000
AR Path="/5F275ED4/5F69C19E" Ref="#PWR041" Part="1"
AR Path="/5F276580/5F69C19E" Ref="#PWR074" Part="1"
F 0 "#PWR041" H 5800 1750 50 0001 C CNN
F 1 "GND" H 5805 1827 50 0000 C CNN
F 2 "" H 5800 2000 50 0001 C CNN
F 3 "" H 5800 2000 50 0001 C CNN
1 5800 2000
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C20
U 1 1 5F69C2E4
P 5800 1800
AR Path="/5F275ED4/5F69C2E4" Ref="C20" Part="1"
AR Path="/5F276580/5F69C2E4" Ref="C39" Part="1"
F 0 "C20" H 5892 1846 50 0000 L CNN
F 1 "100n" H 5892 1755 50 0000 L CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 5800 1800 50 0001 C CNN
F 3 "~" H 5800 1800 50 0001 C CNN
1 5800 1800
1 0 0 -1
$EndComp
Wire Wire Line
5500 1600 5800 1600
Wire Wire Line
5800 1600 5800 1700
Connection ~ 5500 1600
Wire Wire Line
5500 1600 5500 1700
Wire Wire Line
5800 1900 5800 2000
Wire Wire Line
6100 3100 6100 1600
Wire Wire Line
6100 1600 5800 1600
Wire Wire Line
6100 3100 6300 3100
Connection ~ 5800 1600
NoConn ~ 6700 3100
NoConn ~ 6300 5100
NoConn ~ 6700 5100
NoConn ~ 8400 3800
NoConn ~ 8400 4200
Entry Wire Line
9400 5100 9500 5000
Entry Wire Line
9400 5300 9500 5200
Entry Wire Line
9400 5400 9500 5300
Entry Wire Line
9400 5200 9500 5100
Wire Wire Line
9100 5100 9400 5100
Wire Wire Line
9100 5200 9400 5200
Wire Wire Line
9100 5300 9400 5300
Wire Wire Line
9100 5400 9400 5400
NoConn ~ 9100 5100
NoConn ~ 9100 5200
NoConn ~ 9100 5300
NoConn ~ 9100 5400
Text Label 9300 5100 2 50 ~ 0
rj3
Text Label 9300 5200 2 50 ~ 0
rj6
Text Label 9300 5300 2 50 ~ 0
rj7
Text Label 9300 5400 2 50 ~ 0
rj8
Wire Wire Line
9100 3600 9400 3600
Wire Wire Line
9100 3500 9400 3500
Wire Wire Line
8300 3500 7000 3500
Wire Wire Line
7000 3500 7000 4100
Wire Wire Line
7000 4100 7200 4100
Wire Wire Line
8000 4000 7700 4000
Wire Bus Line
9500 3000 9500 5300
$EndSCHEMATC

View File

@ -1 +0,0 @@
0

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,474 +0,0 @@
EESchema Schematic File Version 4
EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 4 7
Title "icE1usb - GPS"
Date "2020-08-26"
Rev "1.0"
Comp ""
Comment1 "CERN-OHL-S"
Comment2 "(C) 2020 Sylvain Munaut"
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L RF_GPS:MAX-M8W U?
U 1 1 5F27F978
P 4000 3800
AR Path="/5F27F978" Ref="U?" Part="1"
AR Path="/5F27E0F4/5F27F978" Ref="U8" Part="1"
F 0 "U8" H 3500 3100 50 0000 C CNN
F 1 "MAX-M8W" H 3600 3000 50 0000 C CNN
F 2 "s47-misc:ublox_MAX" H 4400 3150 50 0001 C CNN
F 3 "https://www.u-blox.com/sites/default/files/MAX-M8-FW3_DataSheet_%28UBX-15031506%29.pdf" H 4000 3800 50 0001 C CNN
1 4000 3800
-1 0 0 -1
$EndComp
$Comp
L power:GND #PWR046
U 1 1 5F2E5DB9
P 4000 4600
F 0 "#PWR046" H 4000 4350 50 0001 C CNN
F 1 "GND" H 4005 4427 50 0000 C CNN
F 2 "" H 4000 4600 50 0001 C CNN
F 3 "" H 4000 4600 50 0001 C CNN
1 4000 4600
1 0 0 -1
$EndComp
Wire Wire Line
4000 4500 4000 4600
Text HLabel 1500 3800 0 50 Input ~ 0
RF
Wire Wire Line
1500 3800 2000 3800
Text HLabel 5500 3700 2 50 BiDi ~ 0
i2c_sda
Text HLabel 5500 3800 2 50 BiDi ~ 0
i2c_scl
Text HLabel 5500 4200 2 50 Input ~ 0
~reset
Text HLabel 5500 5000 2 50 Output ~ 0
pps
Wire Wire Line
5500 3500 5300 3500
Wire Wire Line
5500 3600 5300 3600
Wire Wire Line
5500 3700 5300 3700
Wire Wire Line
5500 3800 5300 3800
Wire Wire Line
5500 4200 4600 4200
Wire Wire Line
3400 4100 3200 4100
Wire Wire Line
3200 4100 3200 5000
Wire Wire Line
3200 5000 5500 5000
$Comp
L Device:C_Small C23
U 1 1 5F3311E6
P 4700 2500
F 0 "C23" H 4792 2546 50 0000 L CNN
F 1 "100n" H 4792 2455 50 0000 L CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 4700 2500 50 0001 C CNN
F 3 "~" H 4700 2500 50 0001 C CNN
1 4700 2500
1 0 0 -1
$EndComp
$Comp
L Device:L_Small L1
U 1 1 5F334BA8
P 2800 3500
F 0 "L1" H 2848 3546 50 0000 L CNN
F 1 "47n" H 2848 3455 50 0000 L CNN
F 2 "Inductor_SMD:L_0402_1005Metric" H 2800 3500 50 0001 C CNN
F 3 "~" H 2800 3500 50 0001 C CNN
F 4 "Murata LQW15AN47NG00D" H 2800 3500 50 0001 C CNN "MPN"
1 2800 3500
1 0 0 -1
$EndComp
Wire Wire Line
2800 3600 2800 3800
Connection ~ 2800 3800
Wire Wire Line
2800 3800 3400 3800
$Comp
L power:+3V3 #PWR047
U 1 1 5F336762
P 4200 2200
F 0 "#PWR047" H 4200 2050 50 0001 C CNN
F 1 "+3V3" H 4215 2373 50 0000 C CNN
F 2 "" H 4200 2200 50 0001 C CNN
F 3 "" H 4200 2200 50 0001 C CNN
1 4200 2200
1 0 0 -1
$EndComp
Wire Wire Line
4200 2200 4200 2300
$Comp
L Device:C_Small C24
U 1 1 5F337095
P 5000 2500
F 0 "C24" H 5092 2546 50 0000 L CNN
F 1 "1u" H 5092 2455 50 0000 L CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 5000 2500 50 0001 C CNN
F 3 "~" H 5000 2500 50 0001 C CNN
1 5000 2500
1 0 0 -1
$EndComp
Wire Wire Line
4200 2300 4400 2300
Wire Wire Line
5000 2300 5000 2400
Connection ~ 4200 2300
Wire Wire Line
4200 2300 4200 3000
Wire Wire Line
4700 2300 4700 2400
Connection ~ 4700 2300
Wire Wire Line
4700 2300 5000 2300
$Comp
L Device:C_Small C22
U 1 1 5F337E6D
P 4400 2500
F 0 "C22" H 4492 2546 50 0000 L CNN
F 1 "100n" H 4492 2455 50 0000 L CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 4400 2500 50 0001 C CNN
F 3 "~" H 4400 2500 50 0001 C CNN
1 4400 2500
1 0 0 -1
$EndComp
Wire Wire Line
4400 2300 4400 2400
Connection ~ 4400 2300
Wire Wire Line
4400 2300 4700 2300
Wire Wire Line
4100 3100 4100 3000
Wire Wire Line
4100 3000 4200 3000
Connection ~ 4200 3000
Wire Wire Line
4200 3000 4200 3100
$Comp
L power:GND #PWR048
U 1 1 5F33D588
P 4400 2700
F 0 "#PWR048" H 4400 2450 50 0001 C CNN
F 1 "GND" H 4405 2527 50 0000 C CNN
F 2 "" H 4400 2700 50 0001 C CNN
F 3 "" H 4400 2700 50 0001 C CNN
1 4400 2700
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR049
U 1 1 5F33DC6F
P 4700 2700
F 0 "#PWR049" H 4700 2450 50 0001 C CNN
F 1 "GND" H 4705 2527 50 0000 C CNN
F 2 "" H 4700 2700 50 0001 C CNN
F 3 "" H 4700 2700 50 0001 C CNN
1 4700 2700
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR050
U 1 1 5F33DD47
P 5000 2700
F 0 "#PWR050" H 5000 2450 50 0001 C CNN
F 1 "GND" H 5005 2527 50 0000 C CNN
F 2 "" H 5000 2700 50 0001 C CNN
F 3 "" H 5000 2700 50 0001 C CNN
1 5000 2700
1 0 0 -1
$EndComp
Wire Wire Line
5000 2600 5000 2700
Wire Wire Line
4700 2600 4700 2700
Wire Wire Line
4400 2600 4400 2700
NoConn ~ 4600 4000
NoConn ~ 4600 4100
$Comp
L Device:R_Small R18
U 1 1 5F33F9B7
P 3800 2500
F 0 "R18" H 3859 2591 50 0000 L CNN
F 1 "10R" H 3859 2500 50 0000 L CNN
F 2 "Resistor_SMD:R_0603_1608Metric" H 3800 2500 50 0001 C CNN
F 3 "~" H 3800 2500 50 0001 C CNN
F 4 "DNP" H 3859 2409 50 0000 L CNN "DNP"
1 3800 2500
-1 0 0 -1
$EndComp
$Comp
L Device:C_Small C21
U 1 1 5F33FEBE
P 3500 2500
F 0 "C21" H 3408 2409 50 0000 R CNN
F 1 "100n" H 3408 2500 50 0000 R CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 3500 2500 50 0001 C CNN
F 3 "~" H 3500 2500 50 0001 C CNN
F 4 "DNP" H 3408 2591 50 0000 R CNN "DNP"
1 3500 2500
1 0 0 1
$EndComp
$Comp
L power:GND #PWR045
U 1 1 5F341049
P 3500 2700
F 0 "#PWR045" H 3500 2450 50 0001 C CNN
F 1 "GND" H 3505 2527 50 0000 C CNN
F 2 "" H 3500 2700 50 0001 C CNN
F 3 "" H 3500 2700 50 0001 C CNN
1 3500 2700
1 0 0 -1
$EndComp
Wire Wire Line
3800 3000 3800 3100
Wire Wire Line
2800 3000 2800 3400
Wire Wire Line
3500 2600 3500 2700
Wire Wire Line
3800 3000 3800 2600
Connection ~ 3800 3000
Wire Wire Line
3900 3100 3900 2300
Wire Wire Line
3900 2300 3800 2300
Wire Wire Line
3800 2300 3800 2400
Wire Wire Line
3800 2300 3500 2300
Wire Wire Line
3500 2300 3500 2400
Connection ~ 3800 2300
Wire Wire Line
2800 3000 3800 3000
Text Notes 2100 2800 0 50 ~ 0
For M8W:\n - Place C & R between\n Vcc_RF and V_ant\n\nFor ATGM336H:\n - Place the bias inductor\n
$Comp
L Device:Battery_Cell BT1
U 1 1 5F34DAE6
P 8000 4200
F 0 "BT1" H 7883 4341 50 0000 R CNN
F 1 "VL-1220/VCN" H 7883 4250 50 0000 R CNN
F 2 "Battery:Battery_Panasonic_CR1220-VCN_Vertical_CircularHoles" V 8000 4260 50 0001 C CNN
F 3 "~" V 8000 4260 50 0001 C CNN
F 4 "DNP" H 7883 4159 50 0000 R CNN "DNP"
1 8000 4200
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR052
U 1 1 5F34E154
P 8000 4400
F 0 "#PWR052" H 8000 4150 50 0001 C CNN
F 1 "GND" H 8005 4227 50 0000 C CNN
F 2 "" H 8000 4400 50 0001 C CNN
F 3 "" H 8000 4400 50 0001 C CNN
1 8000 4400
1 0 0 -1
$EndComp
$Comp
L Device:Jumper_NC_Small JP1
U 1 1 5F34E744
P 7800 3500
F 0 "JP1" H 7800 3712 50 0000 C CNN
F 1 "BatBypass" H 7800 3621 50 0000 C CNN
F 2 "s47-misc:J_NC_0603_30" H 7800 3500 50 0001 C CNN
F 3 "~" H 7800 3500 50 0001 C CNN
1 7800 3500
1 0 0 -1
$EndComp
Wire Wire Line
8000 4300 8000 4400
Wire Wire Line
8000 4000 8000 3900
Wire Wire Line
8000 3900 8300 3900
Wire Wire Line
4000 2300 4000 3100
Text Label 4000 2300 3 50 ~ 0
vbackup
Text Label 8900 3900 2 50 ~ 0
vbackup
$Comp
L power:+3V3 #PWR051
U 1 1 5F35197E
P 7000 3300
F 0 "#PWR051" H 7000 3150 50 0001 C CNN
F 1 "+3V3" H 7015 3473 50 0000 C CNN
F 2 "" H 7000 3300 50 0001 C CNN
F 3 "" H 7000 3300 50 0001 C CNN
1 7000 3300
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C25
U 1 1 5F3521E0
P 8300 4200
F 0 "C25" H 8392 4246 50 0000 L CNN
F 1 "100n" H 8392 4155 50 0000 L CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 8300 4200 50 0001 C CNN
F 3 "~" H 8300 4200 50 0001 C CNN
1 8300 4200
1 0 0 -1
$EndComp
Wire Wire Line
8300 3900 8300 4100
Connection ~ 8300 3900
Wire Wire Line
8300 3900 8900 3900
$Comp
L power:GND #PWR053
U 1 1 5F353C3F
P 8300 4400
F 0 "#PWR053" H 8300 4150 50 0001 C CNN
F 1 "GND" H 8305 4227 50 0000 C CNN
F 2 "" H 8300 4400 50 0001 C CNN
F 3 "" H 8300 4400 50 0001 C CNN
1 8300 4400
1 0 0 -1
$EndComp
Wire Wire Line
8300 4300 8300 4400
Wire Wire Line
7000 3300 7000 3500
Wire Wire Line
7000 3500 7700 3500
Wire Wire Line
7900 3500 8000 3500
Wire Wire Line
8000 3500 8000 3900
Connection ~ 8000 3900
$Comp
L Device:R_Small R19
U 1 1 5F357044
P 7700 3900
F 0 "R19" V 7414 3900 50 0000 C CNN
F 1 "330R" V 7505 3900 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" H 7700 3900 50 0001 C CNN
F 3 "~" H 7700 3900 50 0001 C CNN
F 4 "DNP" V 7596 3900 50 0000 C CNN "DNP"
1 7700 3900
0 -1 1 0
$EndComp
$Comp
L Device:D_Schottky_Small D4
U 1 1 5F35AA23
P 7300 3900
F 0 "D4" H 7300 3605 50 0000 C CNN
F 1 "SDM02U30LP3" H 7300 3696 50 0000 C CNN
F 2 "Diode_SMD:D_0603_1608Metric" V 7300 3900 50 0001 C CNN
F 3 "~" V 7300 3900 50 0001 C CNN
F 4 "DNP" H 7300 3787 50 0000 C CNN "DNP"
1 7300 3900
-1 0 0 1
$EndComp
Wire Wire Line
7800 3900 8000 3900
Wire Wire Line
7600 3900 7400 3900
Wire Wire Line
7000 3500 7000 3900
Wire Wire Line
7000 3900 7200 3900
Connection ~ 7000 3500
$Comp
L Device:D_TVS D3
U 1 1 5F37B3C0
P 2000 4250
F 0 "D3" V 1954 4329 50 0000 L CNN
F 1 "PESD0402-140" V 2045 4329 50 0000 L CNN
F 2 "Diode_SMD:D_0402_1005Metric" H 2000 4250 50 0001 C CNN
F 3 "~" H 2000 4250 50 0001 C CNN
F 4 "Little Fuse PESD0402-140" V 2000 4250 50 0001 C CNN "MPN"
1 2000 4250
0 1 1 0
$EndComp
$Comp
L power:GND #PWR044
U 1 1 5F37BB33
P 2000 4600
F 0 "#PWR044" H 2000 4350 50 0001 C CNN
F 1 "GND" H 2005 4427 50 0000 C CNN
F 2 "" H 2000 4600 50 0001 C CNN
F 3 "" H 2000 4600 50 0001 C CNN
1 2000 4600
1 0 0 -1
$EndComp
Wire Wire Line
2000 4400 2000 4600
Wire Wire Line
2000 4100 2000 3800
Connection ~ 2000 3800
Wire Wire Line
2000 3800 2800 3800
Text Notes 8300 3500 0 50 ~ 0
Battery and charging circuit\nis DNP by default
$Comp
L power:PWR_FLAG #FLG03
U 1 1 5F6D51CD
P 8300 3800
F 0 "#FLG03" H 8300 3875 50 0001 C CNN
F 1 "PWR_FLAG" H 8300 3973 50 0001 C CNN
F 2 "" H 8300 3800 50 0001 C CNN
F 3 "~" H 8300 3800 50 0001 C CNN
1 8300 3800
1 0 0 -1
$EndComp
Wire Wire Line
8300 3800 8300 3900
$Comp
L power:PWR_FLAG #FLG02
U 1 1 5F6DA120
P 3800 2200
F 0 "#FLG02" H 3800 2275 50 0001 C CNN
F 1 "PWR_FLAG" H 3800 2373 50 0001 C CNN
F 2 "" H 3800 2200 50 0001 C CNN
F 3 "~" H 3800 2200 50 0001 C CNN
1 3800 2200
1 0 0 -1
$EndComp
Wire Wire Line
3800 2200 3800 2300
Text HLabel 5500 3600 2 50 Output ~ 0
tx
Text HLabel 5500 3500 2 50 Input ~ 0
rx
$Comp
L Device:R_Pack04 RN6
U 1 1 5F77D81A
P 5100 3700
F 0 "RN6" V 4683 3700 50 0000 C CNN
F 1 "33R" V 4774 3700 50 0000 C CNN
F 2 "Resistor_SMD:R_Array_Convex_4x0603" V 5375 3700 50 0001 C CNN
F 3 "~" H 5100 3700 50 0001 C CNN
1 5100 3700
0 1 1 0
$EndComp
Wire Wire Line
4900 3500 4800 3500
Wire Wire Line
4800 3500 4800 3400
Wire Wire Line
4800 3400 4600 3400
Wire Wire Line
4600 3500 4700 3500
Wire Wire Line
4700 3500 4700 3600
Wire Wire Line
4700 3600 4900 3600
Wire Wire Line
4900 3700 4600 3700
Wire Wire Line
4900 3800 4600 3800
$EndSCHEMATC

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,466 @@
{
"board": {
"design_settings": {
"defaults": {
"board_outline_line_width": 0.049999999999999996,
"copper_line_width": 0.19999999999999998,
"copper_text_italic": false,
"copper_text_size_h": 1.0,
"copper_text_size_v": 1.0,
"copper_text_thickness": 0.19999999999999998,
"copper_text_upright": false,
"courtyard_line_width": 0.049999999999999996,
"dimension_precision": 4,
"dimension_units": 3,
"dimensions": {
"arrow_length": 1270000,
"extension_offset": 500000,
"keep_text_aligned": true,
"suppress_zeroes": false,
"text_position": 0,
"units_format": 1
},
"fab_line_width": 0.09999999999999999,
"fab_text_italic": false,
"fab_text_size_h": 1.0,
"fab_text_size_v": 1.0,
"fab_text_thickness": 0.15,
"fab_text_upright": false,
"other_line_width": 0.09999999999999999,
"other_text_italic": false,
"other_text_size_h": 1.0,
"other_text_size_v": 1.0,
"other_text_thickness": 0.15,
"other_text_upright": false,
"pads": {
"drill": 0.762,
"height": 1.524,
"width": 1.524
},
"silk_line_width": 0.09999999999999999,
"silk_text_italic": false,
"silk_text_size_h": 0.7999999999999999,
"silk_text_size_v": 0.7999999999999999,
"silk_text_thickness": 0.125,
"silk_text_upright": false,
"zones": {
"45_degree_only": false,
"min_clearance": 0.25
}
},
"diff_pair_dimensions": [],
"drc_exclusions": [],
"meta": {
"filename": "board_design_settings.json",
"version": 2
},
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"copper_edge_clearance": "error",
"courtyards_overlap": "error",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "warning",
"extra_footprint": "warning",
"footprint_type_mismatch": "error",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",
"missing_footprint": "warning",
"net_conflict": "warning",
"npth_inside_courtyard": "ignore",
"padstack": "error",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_over_copper": "warning",
"silk_overlap": "warning",
"skew_out_of_range": "error",
"through_hole_pad_without_hole": "error",
"too_many_vias": "error",
"track_dangling": "warning",
"track_width": "error",
"tracks_crossing": "error",
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zone_has_empty_net": "error",
"zones_intersect": "error"
},
"rule_severitieslegacy_courtyards_overlap": true,
"rule_severitieslegacy_no_courtyard_defined": false,
"rules": {
"allow_blind_buried_vias": false,
"allow_microvias": false,
"max_error": 0.005,
"min_clearance": 0.0,
"min_copper_edge_clearance": 0.024999999999999998,
"min_hole_clearance": 0.25,
"min_hole_to_hole": 0.25,
"min_microvia_diameter": 0.19999999999999998,
"min_microvia_drill": 0.09999999999999999,
"min_silk_clearance": 0.0,
"min_through_hole_diameter": 0.25,
"min_track_width": 0.15,
"min_via_annular_width": 0.049999999999999996,
"min_via_diameter": 0.5,
"use_height_for_length_calcs": true
},
"track_widths": [
0.0,
0.15,
0.2,
0.25,
0.3,
0.5,
1.0
],
"via_dimensions": [
{
"diameter": 0.0,
"drill": 0.0
},
{
"diameter": 0.6,
"drill": 0.3
},
{
"diameter": 1.0,
"drill": 0.5
}
],
"zones_allow_external_fillets": false,
"zones_use_no_outline": true
},
"layer_presets": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_label_syntax": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "icE1usb.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12.0,
"clearance": 0.15,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.15,
"via_diameter": 0.6,
"via_drill": 0.3,
"wire_width": 6.0
}
],
"meta": {
"version": 2
},
"net_colors": null
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"specctra_dsn": "",
"step": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"drawing": {
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.25,
"pin_symbol_size": 0.0,
"text_offset_ratio": 0.08
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "Pcbnew",
"ngspice": {
"fix_include_paths": true,
"fix_passive_vals": false,
"meta": {
"version": 0
},
"model_mode": 0,
"workbook_filename": ""
},
"page_layout_descr_file": "",
"plot_directory": "plot/",
"spice_adjust_passive_values": false,
"spice_external_command": "spice \"%I\"",
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"626679e8-6101-4722-ac57-5b8d9dab4c8b",
""
],
[
"00000000-0000-0000-0000-00005f27e0f4",
"gps"
],
[
"00000000-0000-0000-0000-00005f1321e5",
"fpga"
],
[
"00000000-0000-0000-0000-00005f2d7f29",
"psu"
],
[
"00000000-0000-0000-0000-00005f275ed4",
"e1A"
],
[
"00000000-0000-0000-0000-00005f6ace9b",
"e1bias"
],
[
"00000000-0000-0000-0000-00005f276580",
"e1B"
]
],
"text_variables": {}
}

File diff suppressed because it is too large Load Diff

View File

@ -1,258 +0,0 @@
update=Sat 05 Sep 2020 07:15:52 PM CEST
version=1
last_client=kicad
[general]
version=1
RootSch=
BoardNm=
[cvpcb]
version=1
NetIExt=net
[eeschema]
version=1
LibDir=
[eeschema/libraries]
[pcbnew]
version=1
PageLayoutDescrFile=
LastNetListRead=
CopperLayerCount=4
BoardThickness=1.6
AllowMicroVias=0
AllowBlindVias=0
RequireCourtyardDefinitions=0
ProhibitOverlappingCourtyards=1
MinTrackWidth=0.15
MinViaDiameter=0.5
MinViaDrill=0.25
MinMicroViaDiameter=0.2
MinMicroViaDrill=0.09999999999999999
MinHoleToHole=0.25
TrackWidth1=0.15
TrackWidth2=0.15
TrackWidth3=0.2
TrackWidth4=0.25
TrackWidth5=0.3
TrackWidth6=0.5
TrackWidth7=1
ViaDiameter1=0.6
ViaDrill1=0.3
ViaDiameter2=0.6
ViaDrill2=0.3
ViaDiameter3=1
ViaDrill3=0.5
dPairWidth1=0.2
dPairGap1=0.25
dPairViaGap1=0.25
SilkLineWidth=0.09999999999999999
SilkTextSizeV=0.7999999999999999
SilkTextSizeH=0.7999999999999999
SilkTextSizeThickness=0.125
SilkTextItalic=0
SilkTextUpright=1
CopperLineWidth=0.2
CopperTextSizeV=1
CopperTextSizeH=1
CopperTextThickness=0.2
CopperTextItalic=0
CopperTextUpright=1
EdgeCutLineWidth=0.05
CourtyardLineWidth=0.05
OthersLineWidth=0.05
OthersTextSizeV=0.7999999999999999
OthersTextSizeH=0.7999999999999999
OthersTextSizeThickness=0.125
OthersTextItalic=0
OthersTextUpright=1
SolderMaskClearance=0.05
SolderMaskMinWidth=0.075
SolderPasteClearance=0
SolderPasteRatio=-0
[pcbnew/Layer.F.Cu]
Name=F.Cu
Type=0
Enabled=1
[pcbnew/Layer.In1.Cu]
Name=In1.Cu
Type=0
Enabled=1
[pcbnew/Layer.In2.Cu]
Name=In2.Cu
Type=0
Enabled=1
[pcbnew/Layer.In3.Cu]
Name=In3.Cu
Type=0
Enabled=0
[pcbnew/Layer.In4.Cu]
Name=In4.Cu
Type=0
Enabled=0
[pcbnew/Layer.In5.Cu]
Name=In5.Cu
Type=0
Enabled=0
[pcbnew/Layer.In6.Cu]
Name=In6.Cu
Type=0
Enabled=0
[pcbnew/Layer.In7.Cu]
Name=In7.Cu
Type=0
Enabled=0
[pcbnew/Layer.In8.Cu]
Name=In8.Cu
Type=0
Enabled=0
[pcbnew/Layer.In9.Cu]
Name=In9.Cu
Type=0
Enabled=0
[pcbnew/Layer.In10.Cu]
Name=In10.Cu
Type=0
Enabled=0
[pcbnew/Layer.In11.Cu]
Name=In11.Cu
Type=0
Enabled=0
[pcbnew/Layer.In12.Cu]
Name=In12.Cu
Type=0
Enabled=0
[pcbnew/Layer.In13.Cu]
Name=In13.Cu
Type=0
Enabled=0
[pcbnew/Layer.In14.Cu]
Name=In14.Cu
Type=0
Enabled=0
[pcbnew/Layer.In15.Cu]
Name=In15.Cu
Type=0
Enabled=0
[pcbnew/Layer.In16.Cu]
Name=In16.Cu
Type=0
Enabled=0
[pcbnew/Layer.In17.Cu]
Name=In17.Cu
Type=0
Enabled=0
[pcbnew/Layer.In18.Cu]
Name=In18.Cu
Type=0
Enabled=0
[pcbnew/Layer.In19.Cu]
Name=In19.Cu
Type=0
Enabled=0
[pcbnew/Layer.In20.Cu]
Name=In20.Cu
Type=0
Enabled=0
[pcbnew/Layer.In21.Cu]
Name=In21.Cu
Type=0
Enabled=0
[pcbnew/Layer.In22.Cu]
Name=In22.Cu
Type=0
Enabled=0
[pcbnew/Layer.In23.Cu]
Name=In23.Cu
Type=0
Enabled=0
[pcbnew/Layer.In24.Cu]
Name=In24.Cu
Type=0
Enabled=0
[pcbnew/Layer.In25.Cu]
Name=In25.Cu
Type=0
Enabled=0
[pcbnew/Layer.In26.Cu]
Name=In26.Cu
Type=0
Enabled=0
[pcbnew/Layer.In27.Cu]
Name=In27.Cu
Type=0
Enabled=0
[pcbnew/Layer.In28.Cu]
Name=In28.Cu
Type=0
Enabled=0
[pcbnew/Layer.In29.Cu]
Name=In29.Cu
Type=0
Enabled=0
[pcbnew/Layer.In30.Cu]
Name=In30.Cu
Type=0
Enabled=0
[pcbnew/Layer.B.Cu]
Name=B.Cu
Type=0
Enabled=1
[pcbnew/Layer.B.Adhes]
Enabled=1
[pcbnew/Layer.F.Adhes]
Enabled=1
[pcbnew/Layer.B.Paste]
Enabled=1
[pcbnew/Layer.F.Paste]
Enabled=1
[pcbnew/Layer.B.SilkS]
Enabled=1
[pcbnew/Layer.F.SilkS]
Enabled=1
[pcbnew/Layer.B.Mask]
Enabled=1
[pcbnew/Layer.F.Mask]
Enabled=1
[pcbnew/Layer.Dwgs.User]
Enabled=1
[pcbnew/Layer.Cmts.User]
Enabled=1
[pcbnew/Layer.Eco1.User]
Enabled=1
[pcbnew/Layer.Eco2.User]
Enabled=1
[pcbnew/Layer.Edge.Cuts]
Enabled=1
[pcbnew/Layer.Margin]
Enabled=1
[pcbnew/Layer.B.CrtYd]
Enabled=1
[pcbnew/Layer.F.CrtYd]
Enabled=1
[pcbnew/Layer.B.Fab]
Enabled=1
[pcbnew/Layer.F.Fab]
Enabled=1
[pcbnew/Layer.Rescue]
Enabled=0
[pcbnew/Netclasses]
[pcbnew/Netclasses/Default]
Name=Default
Clearance=0.15
TrackWidth=0.15
ViaDiameter=0.6
ViaDrill=0.3
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25
[schematic_editor]
version=1
PageLayoutDescrFile=
PlotDirectoryName=plot/
SubpartIdSeparator=0
SubpartFirstId=65
NetFmtName=Pcbnew
SpiceAjustPassiveValues=0
LabSize=50
ERC_TestSimilarLabels=1

View File

@ -1,897 +0,0 @@
EESchema Schematic File Version 4
EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 7
Title "icE1usb - Top level overview"
Date "2020-08-26"
Rev "1.0"
Comp ""
Comment1 "CERN-OHL-S"
Comment2 "(C) 2020 Sylvain Munaut"
Comment3 ""
Comment4 ""
$EndDescr
$Sheet
S 3000 3000 1500 3000
U 5F1321E5
F0 "fpga" 50
F1 "fpga.sch" 50
F2 "e1A_tx_hi" O R 4500 4000 50
F3 "e1A_tx_lo" O R 4500 4100 50
F4 "e1B_tx_hi" O R 4500 5000 50
F5 "e1B_tx_lo" O R 4500 5100 50
F6 "e1A_rx_hi_p" I R 4500 4200 50
F7 "e1A_rx_hi_n" I R 4500 4300 50
F8 "e1A_rx_lo_p" I R 4500 4400 50
F9 "e1A_rx_lo_n" I R 4500 4500 50
F10 "e1B_rx_hi_p" I R 4500 5200 50
F11 "e1B_rx_hi_n" I R 4500 5300 50
F12 "e1B_rx_lo_p" I R 4500 5400 50
F13 "e1B_rx_lo_n" I R 4500 5500 50
F14 "e1_rx_bias0" O R 4500 4700 50
F15 "e1_rx_bias1" O R 4500 4800 50
F16 "dbg_tx" O L 3000 3500 50
F17 "dbg_rx" I L 3000 3400 50
F18 "usb_dp" B L 3000 3900 50
F19 "usb_dn" B L 3000 3800 50
F20 "gps_pps" I R 4500 3400 50
F21 "i2c_sda" B R 4500 3700 50
F22 "i2c_scl" B R 4500 3800 50
F23 "gps_rx" I R 4500 3200 50
F24 "gps_tx" O R 4500 3300 50
F25 "~gps_reset" T R 4500 3500 50
F26 "gpio[0..2]" B R 4500 5800 50
F27 "e1_led[0..7]" O R 4500 5700 50
$EndSheet
$Comp
L Connector:USB_C_Receptacle_USB2.0 X4
U 1 1 5F14D4F7
P 1300 3800
F 0 "X4" H 1407 4667 50 0000 C CNN
F 1 "USB" H 1407 4576 50 0000 C CNN
F 2 "s47-conn:USB_C_Receptacle_HRO_TYPE-C-31-M-12" H 1450 3800 50 0001 C CNN
F 3 "https://www.usb.org/sites/default/files/documents/usb_type-c.zip" H 1450 3800 50 0001 C CNN
F 4 "HRO_TYPE-C-31-M-12" H 1300 3800 50 0001 C CNN "MPN"
1 1300 3800
1 0 0 -1
$EndComp
$Comp
L s47-conn:AMPHENOL_RJHSE508102 X5
U 1 1 5F14F0A2
P 9300 1800
F 0 "X5" H 9300 2467 50 0000 C CNN
F 1 "E1" H 9300 2376 50 0000 C CNN
F 2 "Connector_RJ:RJ45_Amphenol_RJHSE538X-02" H 9400 1800 50 0001 C CNN
F 3 "https://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/rjhsex08x02.pdf" H 9400 1800 50 0001 C CNN
F 4 "AMPHENOL RJHSE508102" H 9300 1800 50 0001 C CNN "MPN"
1 9300 1800
-1 0 0 1
$EndComp
$Sheet
S 6500 1000 1000 1500
U 5F275ED4
F0 "e1A" 50
F1 "e1if.sch" 50
F2 "rj[1..8]" U R 7500 1200 50
F3 "tx_hi" I L 6500 1200 50
F4 "tx_lo" I L 6500 1300 50
F5 "rx_lo_p" O L 6500 1900 50
F6 "rx_lo_n" O L 6500 2000 50
F7 "rx_hi_p" O L 6500 1600 50
F8 "rx_hi_n" O L 6500 1700 50
F9 "rx_bias_p" I L 6500 2200 50
F10 "rx_bias_n" I L 6500 2300 50
$EndSheet
$Sheet
S 3000 1000 1500 1500
U 5F27E0F4
F0 "gps" 50
F1 "gps.sch" 50
F2 "RF" I L 3000 1200 50
F3 "i2c_sda" B R 4500 1800 50
F4 "i2c_scl" B R 4500 1900 50
F5 "rx" I R 4500 1400 50
F6 "tx" O R 4500 1500 50
F7 "~reset" I R 4500 1200 50
F8 "pps" O R 4500 1300 50
$EndSheet
$Comp
L s47-conn:AMPHENOL_RJHSE508102 X5
U 2 1 5F2825AC
P 9300 5300
F 0 "X5" H 9300 5967 50 0000 C CNN
F 1 "E1" H 9300 5876 50 0000 C CNN
F 2 "Connector_RJ:RJ45_Amphenol_RJHSE538X-02" H 9400 5300 50 0001 C CNN
F 3 "https://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/rjhsex08x02.pdf" H 9400 5300 50 0001 C CNN
F 4 "AMPHENOL RJHSE508102" H 9300 5300 50 0001 C CNN "MPN"
2 9300 5300
-1 0 0 1
$EndComp
Entry Wire Line
10700 1700 10800 1800
Entry Wire Line
10700 2000 10800 2100
Entry Wire Line
10700 5300 10800 5400
Entry Wire Line
10700 5400 10800 5500
Wire Bus Line
8200 4700 7500 4700
Wire Bus Line
8200 1200 7500 1200
Entry Wire Line
8200 2100 8300 2200
Entry Wire Line
8200 2000 8300 2100
Entry Wire Line
8200 1900 8300 2000
Entry Wire Line
8200 1800 8300 1900
Entry Wire Line
8200 1700 8300 1800
Entry Wire Line
8200 1600 8300 1700
Entry Wire Line
8200 1500 8300 1600
Entry Wire Line
8200 1400 8300 1500
Entry Wire Line
8200 5600 8300 5700
Entry Wire Line
8200 5500 8300 5600
Entry Wire Line
8200 5400 8300 5500
Entry Wire Line
8200 5300 8300 5400
Entry Wire Line
8200 5200 8300 5300
Entry Wire Line
8200 5100 8300 5200
Entry Wire Line
8200 5000 8300 5100
Entry Wire Line
8200 4900 8300 5000
Wire Wire Line
8300 5000 8800 5000
Wire Wire Line
8300 5100 8800 5100
Wire Wire Line
8300 5200 8800 5200
Wire Wire Line
8300 5300 8800 5300
Wire Wire Line
8300 5400 8800 5400
Wire Wire Line
8300 5500 8800 5500
Wire Wire Line
8300 5600 8800 5600
Wire Wire Line
8300 5700 8800 5700
Wire Wire Line
8300 2200 8800 2200
Wire Wire Line
8300 2100 8800 2100
Wire Wire Line
8300 2000 8800 2000
Wire Wire Line
8300 1900 8800 1900
Wire Wire Line
8300 1800 8800 1800
Wire Wire Line
8300 1700 8800 1700
Wire Wire Line
8300 1600 8800 1600
Wire Wire Line
8300 1500 8800 1500
Text Label 10400 5200 0 50 ~ 0
e1_led0
Text Label 10400 5500 0 50 ~ 0
e1_led1
Text Label 10400 1800 0 50 ~ 0
e1_led6
Text Label 8400 1500 0 50 ~ 0
e1A_rj1
Text Label 8400 1600 0 50 ~ 0
e1A_rj2
Text Label 8400 1700 0 50 ~ 0
e1A_rj3
Text Label 8400 1800 0 50 ~ 0
e1A_rj4
Text Label 8400 1900 0 50 ~ 0
e1A_rj5
Text Label 8400 2000 0 50 ~ 0
e1A_rj6
Text Label 8400 2100 0 50 ~ 0
e1A_rj7
Text Label 8400 2200 0 50 ~ 0
e1A_rj8
Text Label 7600 4700 0 50 ~ 0
e1B_rj[1..8]
Text Label 8400 5000 0 50 ~ 0
e1B_rj1
Text Label 8400 5100 0 50 ~ 0
e1B_rj2
Text Label 8400 5200 0 50 ~ 0
e1B_rj3
Text Label 8400 5300 0 50 ~ 0
e1B_rj4
Text Label 8400 5400 0 50 ~ 0
e1B_rj5
Text Label 8400 5500 0 50 ~ 0
e1B_rj6
Text Label 8400 5600 0 50 ~ 0
e1B_rj7
Text Label 8400 5700 0 50 ~ 0
e1B_rj8
Text Label 7600 1200 0 50 ~ 0
e1A_rj[1..8]
Wire Wire Line
1900 3700 2000 3700
Wire Wire Line
2000 3700 2000 3800
Wire Wire Line
2000 3800 1900 3800
Wire Wire Line
1900 3900 2000 3900
Wire Wire Line
2000 3900 2000 4000
Wire Wire Line
2000 4000 1900 4000
$Comp
L power:+5V #PWR04
U 1 1 5F2BD2FD
P 2000 3100
F 0 "#PWR04" H 2000 2950 50 0001 C CNN
F 1 "+5V" H 2015 3273 50 0000 C CNN
F 2 "" H 2000 3100 50 0001 C CNN
F 3 "" H 2000 3100 50 0001 C CNN
1 2000 3100
1 0 0 -1
$EndComp
Wire Wire Line
2000 3100 2000 3200
Wire Wire Line
2000 3200 1900 3200
$Comp
L power:GND #PWR02
U 1 1 5F2BE95B
P 1300 5300
F 0 "#PWR02" H 1300 5050 50 0001 C CNN
F 1 "GND" H 1305 5127 50 0000 C CNN
F 2 "" H 1300 5300 50 0001 C CNN
F 3 "" H 1300 5300 50 0001 C CNN
1 1300 5300
1 0 0 -1
$EndComp
$Comp
L Device:R_Small R1
U 1 1 5F2C0111
P 1000 5000
F 0 "R1" H 1059 5046 50 0000 L CNN
F 1 "91k" H 1059 4955 50 0000 L CNN
F 2 "Resistor_SMD:R_0603_1608Metric" H 1000 5000 50 0001 C CNN
F 3 "~" H 1000 5000 50 0001 C CNN
1 1000 5000
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C1
U 1 1 5F2C06B2
P 800 5000
F 0 "C1" H 708 4954 50 0000 R CNN
F 1 "100n" H 708 5045 50 0000 R CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 800 5000 50 0001 C CNN
F 3 "~" H 800 5000 50 0001 C CNN
1 800 5000
1 0 0 1
$EndComp
Wire Wire Line
1300 4700 1300 5200
Wire Wire Line
1000 4700 1000 4800
Wire Wire Line
800 4900 800 4800
Wire Wire Line
800 4800 1000 4800
Connection ~ 1000 4800
Wire Wire Line
1000 4800 1000 4900
Wire Wire Line
800 5100 800 5200
Wire Wire Line
800 5200 1000 5200
Wire Wire Line
1000 5200 1000 5100
Wire Wire Line
1000 5200 1300 5200
Connection ~ 1000 5200
Connection ~ 1300 5200
Wire Wire Line
1300 5200 1300 5300
$Comp
L power:GND #PWR05
U 1 1 5F2CAD6A
P 2300 3600
F 0 "#PWR05" H 2300 3350 50 0001 C CNN
F 1 "GND" H 2400 3600 50 0000 C CNN
F 2 "" H 2300 3600 50 0001 C CNN
F 3 "" H 2300 3600 50 0001 C CNN
1 2300 3600
1 0 0 -1
$EndComp
Wire Wire Line
2200 3500 2300 3500
Wire Wire Line
2300 3500 2300 3600
Wire Wire Line
2200 3400 2300 3400
Wire Wire Line
2300 3400 2300 3500
Connection ~ 2300 3500
Wire Wire Line
2000 3400 1900 3400
Wire Wire Line
2000 3500 1900 3500
$Comp
L Device:R_Small R2
U 1 1 5F2CB2E3
P 2100 3400
F 0 "R2" V 2000 3300 50 0000 C CNN
F 1 "5k1" V 2000 3500 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" H 2100 3400 50 0001 C CNN
F 3 "~" H 2100 3400 50 0001 C CNN
1 2100 3400
0 1 1 0
$EndComp
$Comp
L Device:R_Small R3
U 1 1 5F2CB885
P 2100 3500
F 0 "R3" V 2200 3400 50 0000 C CNN
F 1 "5k1" V 2200 3600 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" H 2100 3500 50 0001 C CNN
F 3 "~" H 2100 3500 50 0001 C CNN
1 2100 3500
0 1 1 0
$EndComp
Connection ~ 2000 3800
Connection ~ 2000 3900
$Comp
L Connector:Conn_Coaxial X1
U 1 1 5F2D90EA
P 1000 1200
AR Path="/5F2D90EA" Ref="X1" Part="1"
AR Path="/5F27E0F4/5F2D90EA" Ref="X?" Part="1"
F 0 "X1" H 1100 1175 50 0000 L CNN
F 1 "GPS" H 1100 1084 50 0000 L CNN
F 2 "s47-conn:SMA_TEConnectivity_619540-1_Horizontal" H 1000 1200 50 0001 C CNN
F 3 " ~" H 1000 1200 50 0001 C CNN
F 4 "TEConnectivity 619540-1" H 1000 1200 50 0001 C CNN "MPN"
1 1000 1200
-1 0 0 -1
$EndComp
$Comp
L power:GND #PWR01
U 1 1 5F2E3710
P 1000 1500
F 0 "#PWR01" H 1000 1250 50 0001 C CNN
F 1 "GND" H 1005 1327 50 0000 C CNN
F 2 "" H 1000 1500 50 0001 C CNN
F 3 "" H 1000 1500 50 0001 C CNN
1 1000 1500
1 0 0 -1
$EndComp
Wire Wire Line
1000 1400 1000 1500
$Comp
L Connector:AudioJack3 X2
U 1 1 5F33C437
P 1100 2300
AR Path="/5F33C437" Ref="X2" Part="1"
AR Path="/5F1321E5/5F33C437" Ref="X?" Part="1"
F 0 "X2" H 1082 2625 50 0000 C CNN
F 1 "Serial" H 1082 2534 50 0000 C CNN
F 2 "s47-conn:Jack_2.5mm_CUI_SJ-2523-SMT_Horizontal" H 1100 2300 50 0001 C CNN
F 3 "~" H 1100 2300 50 0001 C CNN
F 4 "CUI SJ-2523-SMT" H 1100 2300 50 0001 C CNN "MPN"
1 1100 2300
1 0 0 1
$EndComp
$Comp
L power:GND #PWR03
U 1 1 5F35C170
P 1400 2500
F 0 "#PWR03" H 1400 2250 50 0001 C CNN
F 1 "GND" H 1405 2327 50 0000 C CNN
F 2 "" H 1400 2500 50 0001 C CNN
F 3 "" H 1400 2500 50 0001 C CNN
1 1400 2500
1 0 0 -1
$EndComp
Wire Wire Line
1300 2400 1400 2400
Wire Wire Line
1400 2400 1400 2500
Text Label 1400 2300 0 50 ~ 0
dbg_tx
Text Label 1400 2200 0 50 ~ 0
dbg_rx
Text Label 2100 3900 0 50 ~ 0
usb_dp
Text Label 2100 3800 0 50 ~ 0
usb_dn
Entry Wire Line
10700 1800 10800 1900
Entry Wire Line
10700 5200 10800 5300
Text Label 10400 2000 0 50 ~ 0
e1_led4
Text Label 10400 1900 0 50 ~ 0
e1_led5
Text Label 10400 5400 0 50 ~ 0
e1_led2
Wire Wire Line
10700 1700 10300 1700
Wire Wire Line
9900 1500 9800 1500
Wire Wire Line
9900 5000 9800 5000
Wire Wire Line
10300 5200 10700 5200
Entry Wire Line
10700 1900 10800 2000
Entry Wire Line
10700 5500 10800 5600
Wire Wire Line
10700 1900 10300 1900
Wire Wire Line
9800 1900 9800 2100
Text Label 10400 5300 0 50 ~ 0
e1_led3
Text Label 10400 1700 0 50 ~ 0
e1_led7
$Comp
L Device:R_Pack04 RN1
U 1 1 5F4BB9CE
P 10100 1900
F 0 "RN1" V 9683 1900 50 0000 C CNN
F 1 "33R" V 9774 1900 50 0000 C CNN
F 2 "Resistor_SMD:R_Array_Convex_4x0603" V 10375 1900 50 0001 C CNN
F 3 "~" H 10100 1900 50 0001 C CNN
1 10100 1900
0 1 1 0
$EndComp
$Comp
L Device:R_Pack04 RN2
U 1 1 5F4BC470
P 10100 5400
F 0 "RN2" V 9683 5400 50 0000 C CNN
F 1 "33R" V 9774 5400 50 0000 C CNN
F 2 "Resistor_SMD:R_Array_Convex_4x0603" V 10375 5400 50 0001 C CNN
F 3 "~" H 10100 5400 50 0001 C CNN
1 10100 5400
0 1 1 0
$EndComp
Wire Wire Line
9800 1600 9800 1800
Wire Wire Line
9800 1800 9900 1800
Wire Wire Line
9900 1500 9900 1700
Wire Wire Line
9800 1900 9900 1900
Wire Wire Line
9800 2200 9900 2200
Wire Wire Line
9900 2200 9900 2000
Wire Wire Line
10700 1800 10300 1800
Wire Wire Line
10300 2000 10700 2000
Wire Wire Line
10700 5300 10300 5300
Wire Wire Line
10700 5400 10300 5400
Wire Wire Line
10700 5500 10300 5500
Wire Wire Line
9800 5300 9900 5300
Wire Wire Line
9800 5100 9800 5300
Wire Wire Line
9800 5400 9900 5400
Wire Wire Line
9800 5400 9800 5600
Wire Wire Line
9800 5700 9900 5700
Wire Wire Line
9900 5700 9900 5500
Wire Wire Line
9900 5000 9900 5200
$Sheet
S 6500 3000 1000 1000
U 5F6ACE9B
F0 "e1bias" 50
F1 "e1bias.sch" 50
F2 "bias0" I L 6500 3400 50
F3 "bias1" I L 6500 3500 50
F4 "bias_a_p" O L 6500 3200 50
F5 "bias_a_n" O L 6500 3100 50
F6 "bias_b_p" O L 6500 3800 50
F7 "bias_b_n" O L 6500 3700 50
$EndSheet
$Comp
L Connector:RJ45 X3
U 1 1 5F26EF88
P 1200 7000
F 0 "X3" H 1257 7667 50 0000 C CNN
F 1 "GPIO" H 1257 7576 50 0000 C CNN
F 2 "Connector_RJ:RJ45_Amphenol_RJHSE5380" V 1200 7025 50 0001 C CNN
F 3 "~" V 1200 7025 50 0001 C CNN
F 4 "Amphenol RJHSE5080" H 1200 7000 50 0001 C CNN "MPN"
1 1200 7000
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR08
U 1 1 5F6DF7A7
P 4400 7200
F 0 "#PWR08" H 4400 6950 50 0001 C CNN
F 1 "GND" H 4405 7027 50 0000 C CNN
F 2 "" H 4400 7200 50 0001 C CNN
F 3 "" H 4400 7200 50 0001 C CNN
1 4400 7200
1 0 0 -1
$EndComp
Wire Wire Line
4300 6900 4600 6900
Wire Wire Line
4300 7000 4700 7000
$Comp
L power:+3V3 #PWR07
U 1 1 5F6FA346
P 4400 6700
F 0 "#PWR07" H 4400 6550 50 0001 C CNN
F 1 "+3V3" H 4415 6873 50 0000 C CNN
F 2 "" H 4400 6700 50 0001 C CNN
F 3 "" H 4400 6700 50 0001 C CNN
1 4400 6700
1 0 0 -1
$EndComp
Wire Wire Line
4400 6700 4400 6800
Wire Wire Line
4400 6800 4300 6800
Wire Wire Line
4300 7100 4400 7100
Wire Wire Line
4400 7100 4400 7200
Wire Wire Line
4500 3700 4600 3700
Wire Wire Line
4600 3700 4600 1800
Wire Wire Line
4600 1800 4500 1800
Wire Wire Line
4600 3700 4600 6900
Connection ~ 4600 3700
Wire Wire Line
4700 7000 4700 3800
Wire Wire Line
4700 1900 4500 1900
Wire Wire Line
4500 3800 4700 3800
Connection ~ 4700 3800
Wire Wire Line
4700 3800 4700 1900
Wire Wire Line
4500 3200 4800 3200
Wire Wire Line
4800 3200 4800 1500
Wire Wire Line
4800 1500 4500 1500
Wire Wire Line
4500 3300 4900 3300
Wire Wire Line
4900 3300 4900 1400
Wire Wire Line
4900 1400 4500 1400
Wire Wire Line
4500 1300 5000 1300
Wire Wire Line
5000 1300 5000 3400
Wire Wire Line
5000 3400 4500 3400
Wire Wire Line
4500 3500 5100 3500
Wire Wire Line
5100 3500 5100 1200
Wire Wire Line
5100 1200 4500 1200
Wire Wire Line
4500 4000 5300 4000
Wire Wire Line
5300 4000 5300 1200
Wire Wire Line
5300 1200 6500 1200
Wire Wire Line
6500 1300 5400 1300
Wire Wire Line
5400 1300 5400 4100
Wire Wire Line
5400 4100 4500 4100
Wire Wire Line
4500 4200 5500 4200
Wire Wire Line
5500 4200 5500 1600
Wire Wire Line
5500 1600 6500 1600
Wire Wire Line
4500 4300 5600 4300
Wire Wire Line
5600 4300 5600 1700
Wire Wire Line
5600 1700 6500 1700
Wire Wire Line
6500 1900 5700 1900
Wire Wire Line
5700 1900 5700 4400
Wire Wire Line
5700 4400 4500 4400
Wire Wire Line
6500 2000 5800 2000
Wire Wire Line
5800 2000 5800 4500
Wire Wire Line
5800 4500 4500 4500
Wire Wire Line
6500 2300 6400 2300
Wire Wire Line
6400 2300 6400 3100
Wire Wire Line
6400 3100 6500 3100
Wire Wire Line
6500 3200 6300 3200
Wire Wire Line
6300 3200 6300 2200
Wire Wire Line
6300 2200 6500 2200
Text Label 3400 6800 0 50 ~ 0
gpio0
Text Label 3400 6900 0 50 ~ 0
gpio1
Text Label 3400 7000 0 50 ~ 0
gpio2
Wire Bus Line
4500 5800 4800 5800
Wire Bus Line
4800 5800 4800 6300
Wire Bus Line
4800 6300 3300 6300
Entry Wire Line
3300 6700 3400 6800
Entry Wire Line
3300 6800 3400 6900
Entry Wire Line
3300 6900 3400 7000
Wire Bus Line
4500 5700 4900 5700
Wire Bus Line
4900 5700 4900 6300
Wire Bus Line
4900 6300 10800 6300
Wire Wire Line
4500 4700 5900 4700
Wire Wire Line
5900 4700 5900 3400
Wire Wire Line
5900 3400 6500 3400
Wire Wire Line
4500 4800 6000 4800
Wire Wire Line
6000 4800 6000 3500
Wire Wire Line
6000 3500 6500 3500
$Sheet
S 5500 6500 1000 1000
U 5F2D7F29
F0 "psu" 50
F1 "psu.sch" 50
$EndSheet
$Sheet
S 6500 4500 1000 1500
U 5F276580
F0 "e1B" 50
F1 "e1if.sch" 50
F2 "rj[1..8]" U R 7500 4700 50
F3 "tx_hi" I L 6500 4700 50
F4 "tx_lo" I L 6500 4800 50
F5 "rx_lo_p" O L 6500 5700 50
F6 "rx_lo_n" O L 6500 5800 50
F7 "rx_hi_p" O L 6500 5400 50
F8 "rx_hi_n" O L 6500 5500 50
F9 "rx_bias_p" I L 6500 5100 50
F10 "rx_bias_n" I L 6500 5200 50
$EndSheet
Wire Wire Line
6400 3800 6500 3800
Wire Wire Line
6300 3700 6500 3700
Wire Wire Line
6500 4700 6100 4700
Wire Wire Line
6100 4700 6100 5000
Wire Wire Line
6100 5000 4500 5000
Wire Wire Line
6500 4800 6200 4800
Wire Wire Line
6200 4800 6200 5100
Wire Wire Line
6200 5100 4500 5100
Wire Wire Line
6400 3800 6400 5100
Wire Wire Line
6400 5100 6500 5100
Wire Wire Line
6500 5200 6300 5200
Wire Wire Line
6300 5200 6300 3700
Wire Wire Line
4500 5200 6200 5200
Wire Wire Line
6200 5200 6200 5400
Wire Wire Line
6200 5400 6500 5400
Wire Wire Line
6500 5500 6100 5500
Wire Wire Line
6100 5500 6100 5300
Wire Wire Line
6100 5300 4500 5300
Wire Wire Line
4500 5400 6000 5400
Wire Wire Line
6000 5400 6000 5700
Wire Wire Line
6000 5700 6500 5700
Wire Wire Line
6500 5800 5900 5800
Wire Wire Line
5900 5800 5900 5500
Wire Wire Line
5900 5500 4500 5500
Wire Wire Line
2000 3800 3000 3800
Wire Wire Line
2000 3900 3000 3900
NoConn ~ 1900 4300
NoConn ~ 1900 4400
Wire Wire Line
2700 2200 2700 3400
Wire Wire Line
2700 3400 3000 3400
Wire Wire Line
1300 2200 2700 2200
Wire Wire Line
3000 3500 2600 3500
Wire Wire Line
2600 3500 2600 2300
Wire Wire Line
1300 2300 2600 2300
Wire Wire Line
1200 1200 3000 1200
Wire Wire Line
3800 6800 3400 6800
Wire Wire Line
3800 6900 3400 6900
Wire Wire Line
3800 7000 3400 7000
$Comp
L Connector_Generic:Conn_02x04_Odd_Even J2
U 1 1 5F54C3EB
P 4100 7000
F 0 "J2" H 4150 6575 50 0000 C CNN
F 1 "EXT_IN" H 4150 6666 50 0000 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_2x04_P2.54mm_Vertical" H 4100 7000 50 0001 C CNN
F 3 "~" H 4100 7000 50 0001 C CNN
1 4100 7000
-1 0 0 1
$EndComp
$Comp
L Connector_Generic:Conn_02x04_Odd_Even J1
U 1 1 5F363772
P 2400 7000
F 0 "J1" H 2450 6550 50 0000 C CNN
F 1 "EXT_OUT" H 2450 6650 50 0000 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_2x04_P2.54mm_Vertical" H 2400 7000 50 0001 C CNN
F 3 "~" H 2400 7000 50 0001 C CNN
1 2400 7000
-1 0 0 1
$EndComp
Wire Wire Line
1600 7200 2000 7200
Wire Wire Line
2000 7200 2000 7100
Wire Wire Line
2000 7100 2100 7100
Wire Wire Line
1600 7000 2100 7000
Wire Wire Line
1600 6800 1900 6800
Wire Wire Line
1900 6800 1900 6900
Wire Wire Line
1900 6900 2100 6900
Wire Wire Line
1600 6600 2000 6600
Wire Wire Line
2000 6600 2000 6800
Wire Wire Line
2000 6800 2100 6800
Wire Wire Line
1600 7300 2700 7300
Wire Wire Line
2700 7300 2700 7100
Wire Wire Line
2700 7100 2600 7100
Wire Wire Line
1600 7100 1900 7100
Wire Wire Line
1900 7100 1900 7400
Wire Wire Line
1900 7400 2800 7400
Wire Wire Line
2800 7400 2800 7000
Wire Wire Line
2800 7000 2600 7000
Wire Wire Line
1600 6900 1800 6900
Wire Wire Line
1800 6900 1800 7500
Wire Wire Line
1800 7500 2900 7500
Wire Wire Line
2900 7500 2900 6900
Wire Wire Line
2900 6900 2600 6900
Wire Wire Line
1600 6700 1700 6700
Wire Wire Line
1700 6700 1700 7600
Wire Wire Line
1700 7600 3000 7600
Wire Wire Line
3000 7600 3000 6800
Wire Wire Line
3000 6800 2600 6800
Text Label 5000 6300 0 50 ~ 0
e1_led[0..7]
Text Label 3900 6300 0 50 ~ 0
gpio[0..2]
$Comp
L power:+5V #PWR06
U 1 1 5F4C5366
P 3700 6700
F 0 "#PWR06" H 3700 6550 50 0001 C CNN
F 1 "+5V" H 3715 6873 50 0000 C CNN
F 2 "" H 3700 6700 50 0001 C CNN
F 3 "" H 3700 6700 50 0001 C CNN
1 3700 6700
1 0 0 -1
$EndComp
Wire Wire Line
3800 7100 3700 7100
Wire Wire Line
3700 7100 3700 6700
Wire Bus Line
3300 6300 3300 6900
Wire Bus Line
10800 1800 10800 6300
Wire Bus Line
8200 4700 8200 5600
Wire Bus Line
8200 1200 8200 2100
$EndSCHEMATC

View File

@ -0,0 +1,858 @@
(kicad_sch (version 20211123) (generator eeschema)
(uuid 01657d30-6f8e-4bbd-a3dd-6a0742c69aca)
(paper "A4")
(title_block
(title "icE1usb - Power Supply")
(date "2020-08-26")
(rev "1.0")
(comment 1 "CERN-OHL-S")
(comment 2 "(C) 2020 Sylvain Munaut")
)
(lib_symbols
(symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "C" (id 0) (at 0.254 1.778 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "C_Small" (id 1) (at 0.254 -2.032 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "capacitor cap" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Unpolarized capacitor, small symbol" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "C_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "C_Small_0_1"
(polyline
(pts
(xy -1.524 -0.508)
(xy 1.524 -0.508)
)
(stroke (width 0.3302) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -1.524 0.508)
(xy 1.524 0.508)
)
(stroke (width 0.3048) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "C_Small_1_1"
(pin passive line (at 0 2.54 270) (length 2.032)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -2.54 90) (length 2.032)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Regulator_Linear:MIC5504-1.2YM5" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at -7.62 8.89 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "MIC5504-1.2YM5" (id 1) (at -7.62 6.35 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 0 -10.16 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/MIC550X.pdf" (id 3) (at -6.35 6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "Micrel LDO voltage regulator" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "300mA Low-dropout Voltage Regulator, Vout 1.2V, Vin up to 5.5V, SOT-23" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "SOT?23?5*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "MIC5504-1.2YM5_0_1"
(rectangle (start -7.62 -5.08) (end 7.62 5.08)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
)
(symbol "MIC5504-1.2YM5_1_1"
(pin power_in line (at -10.16 2.54 0) (length 2.54)
(name "VIN" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 -7.62 90) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 -2.54 0) (length 2.54)
(name "EN" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 7.62 -2.54 180) (length 2.54) hide
(name "NC" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at 10.16 2.54 180) (length 2.54)
(name "VOUT" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Regulator_Linear:MIC5504-3.3YM5" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at -7.62 8.89 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "MIC5504-3.3YM5" (id 1) (at -7.62 6.35 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 0 -10.16 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/MIC550X.pdf" (id 3) (at -6.35 6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "Micrel LDO voltage regulator" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "300mA Low-dropout Voltage Regulator, Vout 3.3V, Vin up to 5.5V, SOT-23" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "SOT?23?5*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "MIC5504-3.3YM5_0_1"
(rectangle (start -7.62 -5.08) (end 7.62 5.08)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
)
(symbol "MIC5504-3.3YM5_1_1"
(pin power_in line (at -10.16 2.54 0) (length 2.54)
(name "VIN" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 -7.62 90) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 -2.54 0) (length 2.54)
(name "EN" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 7.62 -2.54 180) (length 2.54) hide
(name "NC" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at 10.16 2.54 180) (length 2.54)
(name "VOUT" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:+1V2" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+1V2" (id 1) (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+1V2\"" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+1V2_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "+1V2_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "+1V2" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (id 1) (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+3V3_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "+3V3_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "+3V3" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (id 1) (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+5V\"" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+5V_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "+5V_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "+5V" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "GND_0_1"
(polyline
(pts
(xy 0 0)
(xy 0 -1.27)
(xy 1.27 -1.27)
(xy 0 -2.54)
(xy -1.27 -1.27)
(xy 0 -1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "GND_1_1"
(pin power_in line (at 0 0 270) (length 0) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:PWR_FLAG" (power) (pin_numbers hide) (pin_names (offset 0) hide) (in_bom yes) (on_board yes)
(property "Reference" "#FLG" (id 0) (at 0 1.905 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "PWR_FLAG" (id 1) (at 0 3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Special symbol for telling ERC where power comes from" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "PWR_FLAG_0_0"
(pin power_out line (at 0 0 90) (length 0)
(name "pwr" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
(symbol "PWR_FLAG_0_1"
(polyline
(pts
(xy 0 0)
(xy 0 1.27)
(xy -1.016 1.905)
(xy 0 2.54)
(xy 1.016 1.905)
(xy 0 1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
)
)
(junction (at 96.52 86.36) (diameter 0) (color 0 0 0 0)
(uuid 42012069-f136-4cdf-8386-a5e648d61587)
)
(junction (at 152.4 86.36) (diameter 0) (color 0 0 0 0)
(uuid b2691466-e53b-4f43-806f-abeb762713f6)
)
(junction (at 127 86.36) (diameter 0) (color 0 0 0 0)
(uuid b7844cf9-69d3-4f7a-977a-bfc30d5d4c82)
)
(junction (at 96.52 83.82) (diameter 0) (color 0 0 0 0)
(uuid ce4b6c19-1441-4e43-8af4-a7f34dfbb538)
)
(junction (at 96.52 91.44) (diameter 0) (color 0 0 0 0)
(uuid eb14ae89-b776-4a7c-b1cb-51227ede5631)
)
(junction (at 182.88 86.36) (diameter 0) (color 0 0 0 0)
(uuid f9570ec9-4338-4208-aee7-369a45a284f8)
)
(wire (pts (xy 182.88 86.36) (xy 182.88 93.98))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 01c54577-6862-4ca7-bb55-524c2e995aee)
)
(wire (pts (xy 182.88 99.06) (xy 182.88 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 059f4155-bed3-4fb2-9baa-d569f31b7e5d)
)
(wire (pts (xy 121.92 86.36) (xy 127 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0774b60f-e343-428b-9125-3ca983239ad5)
)
(wire (pts (xy 111.76 96.52) (xy 111.76 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0844b132-5386-469c-86ff-d527c8a00608)
)
(wire (pts (xy 152.4 81.28) (xy 152.4 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2276bf47-b441-4aa2-ba22-8213875ce0ee)
)
(wire (pts (xy 157.48 86.36) (xy 152.4 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2af1d271-3c6a-476d-8eba-6b2aab466da3)
)
(wire (pts (xy 182.88 81.28) (xy 182.88 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 325f33ca-3e2f-400b-a27c-dce9977a2780)
)
(wire (pts (xy 139.7 91.44) (xy 139.7 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 45fc93ca-f8ba-48a8-9189-1c9886475cd3)
)
(wire (pts (xy 96.52 83.82) (xy 96.52 81.28))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5c986000-fc83-4495-a50f-9f4b94e485bc)
)
(wire (pts (xy 96.52 91.44) (xy 96.52 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5d7cb436-106e-4464-b448-3b8bd128554c)
)
(wire (pts (xy 96.52 99.06) (xy 96.52 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6b847b8a-c935-4366-8f7b-7cdbe96384da)
)
(wire (pts (xy 152.4 99.06) (xy 152.4 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6fb8126a-bcf3-40a3-924c-e2fbe8dba36a)
)
(wire (pts (xy 127 81.28) (xy 127 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7184670c-7656-49ee-9a6f-5771dc120d69)
)
(wire (pts (xy 152.4 86.36) (xy 152.4 93.98))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 77cfe682-cc36-4979-823b-05ea5f187ba7)
)
(wire (pts (xy 167.64 96.52) (xy 167.64 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 88fb8817-4ee2-4465-a9af-37fedc8b835b)
)
(wire (pts (xy 101.6 86.36) (xy 96.52 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8d054a8d-7435-41ed-8832-6067aada259a)
)
(wire (pts (xy 127 86.36) (xy 127 93.98))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9924c304-97d1-4655-9ab8-854a335a84c2)
)
(wire (pts (xy 91.44 81.28) (xy 91.44 83.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9fbabfd5-5316-4dcb-8d99-3c53b9c69880)
)
(wire (pts (xy 91.44 99.06) (xy 91.44 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a06bd114-6488-4d22-b31a-c3a8f70a2574)
)
(wire (pts (xy 177.8 86.36) (xy 182.88 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a5dfaf18-d33f-45c4-b76f-2a5051ec9118)
)
(wire (pts (xy 96.52 91.44) (xy 96.52 93.98))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid aafd680e-f3de-44c3-b8d2-897188909f89)
)
(wire (pts (xy 157.48 91.44) (xy 139.7 91.44))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b400c80e-5312-495d-b0d5-8365ed4de032)
)
(wire (pts (xy 139.7 86.36) (xy 127 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c9863f4f-bdf5-49f4-b18e-dce622ff9931)
)
(wire (pts (xy 96.52 86.36) (xy 96.52 83.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ca9607c0-16b8-4085-880e-b87c3f210fd1)
)
(wire (pts (xy 127 99.06) (xy 127 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ef11623e-ea9c-4a76-a028-9fae209a45f2)
)
(wire (pts (xy 91.44 83.82) (xy 96.52 83.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f89b1d5e-28c8-498c-b199-7acbd8607540)
)
(wire (pts (xy 101.6 91.44) (xy 96.52 91.44))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fe578162-0e40-4028-9277-b80f8071e7b8)
)
(symbol (lib_id "Regulator_Linear:MIC5504-1.2YM5") (at 111.76 88.9 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f364b2a)
(property "Reference" "U9" (id 0) (at 111.76 79.5782 0))
(property "Value" "MIC5504-1.2YM5" (id 1) (at 111.76 81.8896 0))
(property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 111.76 99.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/MIC550X.pdf" (id 3) (at 105.41 82.55 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d4f8954b-f7be-44aa-bb70-a96cc8300cfa))
(pin "2" (uuid 5871ce44-fd17-418b-bec2-6774a2aa3d4b))
(pin "3" (uuid 6189ec01-e3be-49b6-8e6a-8bc83065735a))
(pin "4" (uuid 41b7fab5-f369-4bf2-8d9b-8af15444ec5f))
(pin "5" (uuid 6d96171e-7384-48a3-b7b6-f3f35d176d40))
)
(symbol (lib_id "Regulator_Linear:MIC5504-3.3YM5") (at 167.64 88.9 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f36521a)
(property "Reference" "U10" (id 0) (at 167.64 79.5782 0))
(property "Value" "MIC5504-3.3YM5" (id 1) (at 167.64 81.8896 0))
(property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 167.64 99.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/MIC550X.pdf" (id 3) (at 161.29 82.55 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 04a28995-10a7-4e8d-bf0a-3c28cd74c2fa))
(pin "2" (uuid 5b5e9d4f-5380-4795-9c5c-6c9dd2774543))
(pin "3" (uuid c2113ebe-baee-4c93-b17b-9f259cc79e1d))
(pin "4" (uuid fce757f0-707e-4a92-9cdc-645159fe4b93))
(pin "5" (uuid ab6ab0db-09cc-407d-956c-d4e570b717d6))
)
(symbol (lib_id "power:GND") (at 111.76 101.6 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f36617b)
(property "Reference" "#PWR062" (id 0) (at 111.76 107.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 111.887 105.9942 0))
(property "Footprint" "" (id 2) (at 111.76 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 111.76 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 1d71b6a4-cf45-4acb-9054-a85fc55c3a1f))
)
(symbol (lib_id "power:GND") (at 167.64 101.6 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f3664eb)
(property "Reference" "#PWR067" (id 0) (at 167.64 107.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 167.767 105.9942 0))
(property "Footprint" "" (id 2) (at 167.64 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 167.64 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 5f57716a-1770-465c-bd5c-764e6a2f7c3e))
)
(symbol (lib_id "power:+3V3") (at 182.88 81.28 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f366894)
(property "Reference" "#PWR068" (id 0) (at 182.88 85.09 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (id 1) (at 183.261 76.8858 0))
(property "Footprint" "" (id 2) (at 182.88 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 182.88 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 9d64d986-5464-4a8c-99c0-33609c2bcbc0))
)
(symbol (lib_id "power:+1V2") (at 127 81.28 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f366dae)
(property "Reference" "#PWR063" (id 0) (at 127 85.09 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+1V2" (id 1) (at 127.381 76.8858 0))
(property "Footprint" "" (id 2) (at 127 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 127 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 394febab-7571-4336-adce-ce25f1a5dfc5))
)
(symbol (lib_id "power:+5V") (at 96.52 81.28 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f367087)
(property "Reference" "#PWR060" (id 0) (at 96.52 85.09 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (id 1) (at 96.901 76.8858 0))
(property "Footprint" "" (id 2) (at 96.52 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 96.52 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c16e13d4-98df-4290-b288-02a1aceb5144))
)
(symbol (lib_id "Device:C_Small") (at 96.52 96.52 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f3676a9)
(property "Reference" "C29" (id 0) (at 98.8568 95.3516 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1u" (id 1) (at 98.8568 97.663 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 96.52 96.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 96.52 96.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid bc77e35b-31cb-4e19-bcaa-39f2d5b0ed72))
(pin "2" (uuid 280e303b-6cb0-4a63-ad86-38ea386bc34f))
)
(symbol (lib_id "Device:C_Small") (at 127 96.52 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f367afb)
(property "Reference" "C30" (id 0) (at 129.3368 95.3516 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1u" (id 1) (at 129.3368 97.663 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 127 96.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 127 96.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 5f6fbc87-cd39-4d24-8f5c-dad4bc9df885))
(pin "2" (uuid 240ff3ec-2785-4905-b521-cf0f33908605))
)
(symbol (lib_id "Device:C_Small") (at 152.4 96.52 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f367d86)
(property "Reference" "C31" (id 0) (at 154.7368 95.3516 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1u" (id 1) (at 154.7368 97.663 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 152.4 96.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 152.4 96.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 8f282442-f954-4457-b2d1-76fbbfba785e))
(pin "2" (uuid ea34cc83-ed81-4d38-9875-c23e9be21461))
)
(symbol (lib_id "Device:C_Small") (at 182.88 96.52 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f368064)
(property "Reference" "C32" (id 0) (at 185.2168 95.3516 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1u" (id 1) (at 185.2168 97.663 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 182.88 96.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 182.88 96.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid bb690633-a2d8-45d8-8d80-85ce2f66f3a7))
(pin "2" (uuid aa67310a-259a-4b0d-8870-2ee279e7e79a))
)
(symbol (lib_id "power:GND") (at 96.52 101.6 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f395396)
(property "Reference" "#PWR061" (id 0) (at 96.52 107.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 96.647 105.9942 0))
(property "Footprint" "" (id 2) (at 96.52 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 96.52 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ebb6d0dd-09a8-4277-a2b0-9cf1fbeb0b45))
)
(symbol (lib_id "power:GND") (at 127 101.6 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f3954c5)
(property "Reference" "#PWR064" (id 0) (at 127 107.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 127.127 105.9942 0))
(property "Footprint" "" (id 2) (at 127 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 127 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 201ef1b6-fe47-4e18-a25d-8454a9ece970))
)
(symbol (lib_id "power:PWR_FLAG") (at 91.44 81.28 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f39708f)
(property "Reference" "#FLG04" (id 0) (at 91.44 79.375 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "PWR_FLAG" (id 1) (at 91.44 76.8858 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Footprint" "" (id 2) (at 91.44 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 91.44 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ef5bf31b-3107-4701-b50c-c3785317a8e3))
)
(symbol (lib_id "power:+5V") (at 152.4 81.28 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f397752)
(property "Reference" "#PWR065" (id 0) (at 152.4 85.09 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (id 1) (at 152.781 76.8858 0))
(property "Footprint" "" (id 2) (at 152.4 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 152.4 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ac8b8110-4db7-48da-a572-01c776b98ec2))
)
(symbol (lib_id "power:GND") (at 152.4 101.6 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f398205)
(property "Reference" "#PWR066" (id 0) (at 152.4 107.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 152.527 105.9942 0))
(property "Footprint" "" (id 2) (at 152.4 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 152.4 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 8c1feb9f-d0b8-42a7-8406-aa936270dc75))
)
(symbol (lib_id "power:GND") (at 182.88 101.6 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f398486)
(property "Reference" "#PWR069" (id 0) (at 182.88 107.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 183.007 105.9942 0))
(property "Footprint" "" (id 2) (at 182.88 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 182.88 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 54be2e8d-b9e1-45ef-9cf3-5bde450aee2c))
)
(symbol (lib_id "power:GND") (at 91.44 101.6 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f6d7a5f)
(property "Reference" "#PWR059" (id 0) (at 91.44 107.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 91.567 105.9942 0))
(property "Footprint" "" (id 2) (at 91.44 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 91.44 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e8a993ec-3ca1-4e1c-b9db-8fedba6ba9f3))
)
(symbol (lib_id "power:PWR_FLAG") (at 91.44 99.06 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f6d82e5)
(property "Reference" "#FLG05" (id 0) (at 91.44 97.155 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "PWR_FLAG" (id 1) (at 91.44 94.6658 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Footprint" "" (id 2) (at 91.44 99.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 91.44 99.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d72feaa1-d250-4ba1-908e-630af4ccb017))
)
)

View File

@ -1,285 +0,0 @@
EESchema Schematic File Version 4
EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 6 7
Title "icE1usb - Power Supply"
Date "2020-08-26"
Rev "1.0"
Comp ""
Comment1 "CERN-OHL-S"
Comment2 "(C) 2020 Sylvain Munaut"
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L Regulator_Linear:MIC5504-1.2YM5 U9
U 1 1 5F364B2A
P 4400 3500
F 0 "U9" H 4400 3867 50 0000 C CNN
F 1 "MIC5504-1.2YM5" H 4400 3776 50 0000 C CNN
F 2 "Package_TO_SOT_SMD:SOT-23-5" H 4400 3100 50 0001 C CNN
F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/MIC550X.pdf" H 4150 3750 50 0001 C CNN
1 4400 3500
1 0 0 -1
$EndComp
$Comp
L Regulator_Linear:MIC5504-3.3YM5 U10
U 1 1 5F36521A
P 6600 3500
F 0 "U10" H 6600 3867 50 0000 C CNN
F 1 "MIC5504-3.3YM5" H 6600 3776 50 0000 C CNN
F 2 "Package_TO_SOT_SMD:SOT-23-5" H 6600 3100 50 0001 C CNN
F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/MIC550X.pdf" H 6350 3750 50 0001 C CNN
1 6600 3500
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR062
U 1 1 5F36617B
P 4400 4000
F 0 "#PWR062" H 4400 3750 50 0001 C CNN
F 1 "GND" H 4405 3827 50 0000 C CNN
F 2 "" H 4400 4000 50 0001 C CNN
F 3 "" H 4400 4000 50 0001 C CNN
1 4400 4000
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR067
U 1 1 5F3664EB
P 6600 4000
F 0 "#PWR067" H 6600 3750 50 0001 C CNN
F 1 "GND" H 6605 3827 50 0000 C CNN
F 2 "" H 6600 4000 50 0001 C CNN
F 3 "" H 6600 4000 50 0001 C CNN
1 6600 4000
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR068
U 1 1 5F366894
P 7200 3200
F 0 "#PWR068" H 7200 3050 50 0001 C CNN
F 1 "+3V3" H 7215 3373 50 0000 C CNN
F 2 "" H 7200 3200 50 0001 C CNN
F 3 "" H 7200 3200 50 0001 C CNN
1 7200 3200
1 0 0 -1
$EndComp
$Comp
L power:+1V2 #PWR063
U 1 1 5F366DAE
P 5000 3200
F 0 "#PWR063" H 5000 3050 50 0001 C CNN
F 1 "+1V2" H 5015 3373 50 0000 C CNN
F 2 "" H 5000 3200 50 0001 C CNN
F 3 "" H 5000 3200 50 0001 C CNN
1 5000 3200
1 0 0 -1
$EndComp
$Comp
L power:+5V #PWR060
U 1 1 5F367087
P 3800 3200
F 0 "#PWR060" H 3800 3050 50 0001 C CNN
F 1 "+5V" H 3815 3373 50 0000 C CNN
F 2 "" H 3800 3200 50 0001 C CNN
F 3 "" H 3800 3200 50 0001 C CNN
1 3800 3200
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C29
U 1 1 5F3676A9
P 3800 3800
F 0 "C29" H 3892 3846 50 0000 L CNN
F 1 "1u" H 3892 3755 50 0000 L CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 3800 3800 50 0001 C CNN
F 3 "~" H 3800 3800 50 0001 C CNN
1 3800 3800
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C30
U 1 1 5F367AFB
P 5000 3800
F 0 "C30" H 5092 3846 50 0000 L CNN
F 1 "1u" H 5092 3755 50 0000 L CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 5000 3800 50 0001 C CNN
F 3 "~" H 5000 3800 50 0001 C CNN
1 5000 3800
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C31
U 1 1 5F367D86
P 6000 3800
F 0 "C31" H 6092 3846 50 0000 L CNN
F 1 "1u" H 6092 3755 50 0000 L CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 6000 3800 50 0001 C CNN
F 3 "~" H 6000 3800 50 0001 C CNN
1 6000 3800
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C32
U 1 1 5F368064
P 7200 3800
F 0 "C32" H 7292 3846 50 0000 L CNN
F 1 "1u" H 7292 3755 50 0000 L CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 7200 3800 50 0001 C CNN
F 3 "~" H 7200 3800 50 0001 C CNN
1 7200 3800
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR061
U 1 1 5F395396
P 3800 4000
F 0 "#PWR061" H 3800 3750 50 0001 C CNN
F 1 "GND" H 3805 3827 50 0000 C CNN
F 2 "" H 3800 4000 50 0001 C CNN
F 3 "" H 3800 4000 50 0001 C CNN
1 3800 4000
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR064
U 1 1 5F3954C5
P 5000 4000
F 0 "#PWR064" H 5000 3750 50 0001 C CNN
F 1 "GND" H 5005 3827 50 0000 C CNN
F 2 "" H 5000 4000 50 0001 C CNN
F 3 "" H 5000 4000 50 0001 C CNN
1 5000 4000
1 0 0 -1
$EndComp
Wire Wire Line
4000 3400 3800 3400
Wire Wire Line
3800 3400 3800 3300
Wire Wire Line
4000 3600 3800 3600
Wire Wire Line
3800 3600 3800 3400
Connection ~ 3800 3400
Wire Wire Line
3800 3600 3800 3700
Connection ~ 3800 3600
Wire Wire Line
3800 3900 3800 4000
Wire Wire Line
4400 3800 4400 4000
Wire Wire Line
4800 3400 5000 3400
Wire Wire Line
5000 3400 5000 3700
Connection ~ 5000 3400
Wire Wire Line
5000 3900 5000 4000
$Comp
L power:+5V #PWR065
U 1 1 5F397752
P 6000 3200
F 0 "#PWR065" H 6000 3050 50 0001 C CNN
F 1 "+5V" H 6015 3373 50 0000 C CNN
F 2 "" H 6000 3200 50 0001 C CNN
F 3 "" H 6000 3200 50 0001 C CNN
1 6000 3200
1 0 0 -1
$EndComp
Wire Wire Line
6000 3200 6000 3400
Wire Wire Line
6200 3400 6000 3400
Connection ~ 6000 3400
Wire Wire Line
6000 3400 6000 3700
Wire Wire Line
6600 3800 6600 4000
Wire Wire Line
7000 3400 7200 3400
Connection ~ 7200 3400
Wire Wire Line
7200 3400 7200 3700
$Comp
L power:GND #PWR066
U 1 1 5F398205
P 6000 4000
F 0 "#PWR066" H 6000 3750 50 0001 C CNN
F 1 "GND" H 6005 3827 50 0000 C CNN
F 2 "" H 6000 4000 50 0001 C CNN
F 3 "" H 6000 4000 50 0001 C CNN
1 6000 4000
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR069
U 1 1 5F398486
P 7200 4000
F 0 "#PWR069" H 7200 3750 50 0001 C CNN
F 1 "GND" H 7205 3827 50 0000 C CNN
F 2 "" H 7200 4000 50 0001 C CNN
F 3 "" H 7200 4000 50 0001 C CNN
1 7200 4000
1 0 0 -1
$EndComp
Wire Wire Line
7200 3900 7200 4000
Wire Wire Line
6000 3900 6000 4000
Wire Wire Line
6200 3600 5500 3600
Wire Wire Line
5500 3600 5500 3400
Wire Wire Line
5500 3400 5000 3400
$Comp
L power:PWR_FLAG #FLG04
U 1 1 5F39708F
P 3600 3200
F 0 "#FLG04" H 3600 3275 50 0001 C CNN
F 1 "PWR_FLAG" H 3600 3373 50 0001 C CNN
F 2 "" H 3600 3200 50 0001 C CNN
F 3 "~" H 3600 3200 50 0001 C CNN
1 3600 3200
1 0 0 -1
$EndComp
Wire Wire Line
3600 3200 3600 3300
Wire Wire Line
3600 3300 3800 3300
Connection ~ 3800 3300
Wire Wire Line
3800 3300 3800 3200
Wire Wire Line
5000 3200 5000 3400
Wire Wire Line
7200 3200 7200 3400
$Comp
L power:GND #PWR059
U 1 1 5F6D7A5F
P 3600 4000
F 0 "#PWR059" H 3600 3750 50 0001 C CNN
F 1 "GND" H 3605 3827 50 0000 C CNN
F 2 "" H 3600 4000 50 0001 C CNN
F 3 "" H 3600 4000 50 0001 C CNN
1 3600 4000
1 0 0 -1
$EndComp
$Comp
L power:PWR_FLAG #FLG05
U 1 1 5F6D82E5
P 3600 3900
F 0 "#FLG05" H 3600 3975 50 0001 C CNN
F 1 "PWR_FLAG" H 3600 4073 50 0001 C CNN
F 2 "" H 3600 3900 50 0001 C CNN
F 3 "~" H 3600 3900 50 0001 C CNN
1 3600 3900
1 0 0 -1
$EndComp
Wire Wire Line
3600 3900 3600 4000
$EndSCHEMATC