From 4cb0edf52393e4e82dd3f9b0d5b0f582ecbed72f Mon Sep 17 00:00:00 2001 From: vlm Date: Fri, 14 Jan 2005 11:38:49 +0000 Subject: [PATCH] step-by-step example git-svn-id: https://asn1c.svn.sourceforge.net/svnroot/asn1c/trunk@585 59561ff5-6e30-0410-9f3c-9617f08c8826 --- doc/asn1c-usage.lyx | 439 +++++++++++++++++++++++++++++++++++++++++++- doc/asn1c-usage.pdf | Bin 108312 -> 117993 bytes 2 files changed, 431 insertions(+), 8 deletions(-) diff --git a/doc/asn1c-usage.lyx b/doc/asn1c-usage.lyx index 59859d1d..ef84a456 100644 --- a/doc/asn1c-usage.lyx +++ b/doc/asn1c-usage.lyx @@ -134,7 +134,7 @@ The Abstract Syntax Notation One is used to formally describe the semantics number of bits in the integer type), thus it is important to have a way to describe the data in a manner which is independent from the particular machine's representation. - The ASN.1 specifications is used to achieve one or more of the following: + The ASN.1 specifications are used to achieve the following: \layout Itemize The specification expressed in the ASN.1 notation is a formal and precise @@ -167,7 +167,7 @@ This ASN.1 specification describes a constructed type, Rectangle \emph default , containing two integer fields. - This specification may tell the reader that there is this kind of data + This specification may tell the reader that there exists this kind of data structure and that some entity may be prepared to send or receive it. The question on \emph on @@ -196,15 +196,14 @@ marshaling \begin_inset Quotes srd \end_inset -) the data: BER, CER, DER and XER, some of them which will be described - later. +) the data: BER, CER, DER and XER, some of them which will be outlined later. \layout Standard The complete specification must be wrapped in a module, which looks like this: \layout LyX-Code -UsageExampleModule1 +RectangleModule1 \layout LyX-Code { iso org(3) dod(6) internet(1) private(4) @@ -213,7 +212,7 @@ UsageExampleModule1 enterprise(1) spelio(9363) software(1) \layout LyX-Code - asn1c(5) docs(2) usage(1) 1 } + asn1c(5) docs(2) rectangle(1) 1 } \layout LyX-Code DEFINITIONS AUTOMATIC TAGS ::= @@ -246,7 +245,7 @@ Rectangle ::= SEQUENCE { END \layout Standard -The module header consists of module name (UsageExampleModule1), the module +The module header consists of module name (RectangleModule1), the module object identifier ({...}), a keyword \begin_inset Quotes sld \end_inset @@ -392,7 +391,7 @@ ExampleOID ::= OBJECT IDENTIFIER \layout LyX-Code -usageExampleModule1-oid ExampleOID +rectangleModule1-oid ExampleOID \layout LyX-Code ::= { 1 3 6 1 4 1 9363 1 5 2 1 1 } @@ -2775,6 +2774,420 @@ free_struct \emph default function with the target structure pointer set to 0 (NULL), the function will do nothing. +\layout Part + +Examples +\layout Chapter + +Step-by-step: A +\begin_inset Quotes sld +\end_inset + +Rectangle +\begin_inset Quotes srd +\end_inset + + Decoder +\layout Standard + +This chapter will help you to create a simple decoder of a simple +\begin_inset Quotes sld +\end_inset + +Rectangle +\begin_inset Quotes srd +\end_inset + + type used throughout this document. +\layout Enumerate + +Create a file named +\series bold +rectangle.asn1 +\series default + with the following contents: +\begin_deeper +\layout LyX-Code + +RectangleModule1 DEFINITIONS ::= +\layout LyX-Code + +BEGIN +\layout LyX-Code + + +\layout LyX-Code + +Rectangle ::= SEQUENCE { +\layout LyX-Code + + height INTEGER, +\layout LyX-Code + + width INTEGER +\layout LyX-Code + +} +\layout LyX-Code + + +\layout LyX-Code + +END +\end_deeper +\layout Enumerate + +Compile it into the set of .c and .h files using asn1c compiler +\begin_inset LatexCommand \cite{ASN1C} + +\end_inset + +: +\begin_deeper +\layout LyX-Code + + +\emph on +asn1c -fnative-types +\series bold +\emph default +rectangle.asn1 +\end_deeper +\layout Enumerate + +Alternatively, use the Online ASN.1 compiler +\begin_inset LatexCommand \cite{AONL} + +\end_inset + + by uploading the +\series bold +rectangle.asn1 +\series default + file into the Web form and unpacking the produced archive into the target + directory on your computer. +\layout Enumerate + +By this time, you should have multiple files in the current directory, including + the Rectangle.c and Rectangle.h files. +\layout Enumerate + +Create a main() routine which takes the binary input file, decodes it as + it were a BER-encoded Rectangle type, and print out the text (XML) representati +on of the Rectangle type. + Let's name the file +\series bold +main.c +\series default +: +\begin_deeper +\layout LyX-Code + + +\size small +#include +\layout LyX-Code + + +\size small +#include +\layout LyX-Code + + +\size small +#include /* Rectangle ASN.1 type */ +\layout LyX-Code + + +\size small + +\layout LyX-Code + + +\size small +int main(int ac, char **av) { +\layout LyX-Code + + +\size small + char buf[1024]; /* Temporary buffer */ +\layout LyX-Code + + +\size small + Rectangle_t *rectangle = 0; /* Type to decode */ +\layout LyX-Code + + +\size small + asn_dec_rval_t rval; /* Decoder return value */ +\layout LyX-Code + + +\size small + FILE *fp; /* Input file handler */ +\layout LyX-Code + + +\size small + size_t size; /* Number of bytes read */ +\layout LyX-Code + + +\size small + char *filename; /* Input file name */ +\layout LyX-Code + + +\size small + +\layout LyX-Code + + +\size small + /* Require a single filename argument */ +\layout LyX-Code + + +\size small + if(ac != 2) { +\layout LyX-Code + + +\size small + fprintf(stderr, +\begin_inset Quotes sld +\end_inset + +Usage: %s +\backslash +n +\begin_inset Quotes srd +\end_inset + +, av[0]); +\layout LyX-Code + + +\size small + exit(64); /* better, EX_USAGE */ +\layout LyX-Code + + +\size small + } else { +\layout LyX-Code + + +\size small + filename = av[1]; +\layout LyX-Code + + +\size small + } +\layout LyX-Code + + +\size small + +\layout LyX-Code + + +\size small + /* Open input file as read-only binary */ +\layout LyX-Code + + +\size small + fp = fopen(filename, +\begin_inset Quotes sld +\end_inset + +rb +\begin_inset Quotes srd +\end_inset + +); +\layout LyX-Code + + +\size small + if(!fp) { +\layout LyX-Code + + +\size small + perror(filename); +\layout LyX-Code + + +\size small + exit(66); /* better, EX_NOINPUT */ +\layout LyX-Code + + +\size small + } +\layout LyX-Code + + +\size small + +\layout LyX-Code + + +\size small + /* Read up to the buffer size */ +\layout LyX-Code + + +\size small + size = fread(buf, 1, sizeof(buf), fp); +\layout LyX-Code + + +\size small + fclose(fp); +\layout LyX-Code + + +\size small + if(!size) { +\layout LyX-Code + + +\size small + fprintf(stderr, +\begin_inset Quotes sld +\end_inset + +%s: Empty or broken +\backslash +n +\begin_inset Quotes srd +\end_inset + +, filename); +\layout LyX-Code + + +\size small + exit(65); /* better, EX_DATAERR */ +\layout LyX-Code + + +\size small + } +\layout LyX-Code + + +\size small + +\layout LyX-Code + + +\size small + /* Decode the input buffer as Rectangle type */ +\layout LyX-Code + + +\size small + rval = ber_decode(0, &asn_DEF_Rectangle, +\layout LyX-Code + + +\size small + (void **)&rectangle, buf, size); +\layout LyX-Code + + +\size small + if(rval.code != RC_OK) { +\layout LyX-Code + + +\size small + fprintf(stderr, +\layout LyX-Code + + +\size small + +\begin_inset Quotes sld +\end_inset + +%s: Broken Rectangle encoding at byte %ld +\backslash +n +\begin_inset Quotes srd +\end_inset + +, +\layout LyX-Code + + +\size small + filename, (long)rval.consumed); +\layout LyX-Code + + +\size small + exit(65); /* better, EX_DATAERR */ +\layout LyX-Code + + +\size small + } +\layout LyX-Code + + +\size small + +\layout LyX-Code + + +\size small + /* Print the decoded Rectangle type as XML */ +\layout LyX-Code + + +\size small + xer_fprint(stdout, &asn_DEF_Rectangle, rectangle); +\layout LyX-Code + + +\size small + +\layout LyX-Code + + +\size small + return 0; /* Decoding finished successfully */ +\layout LyX-Code + + +\size small +} +\end_deeper +\layout Enumerate + +Compile all files together using C compiler: +\begin_deeper +\layout LyX-Code + + +\emph on +cc -I. + -o rdecode *.c +\end_deeper +\layout Enumerate + +Voila! You have just created the Rectangle type decoder named +\series bold +rdecode +\series default +! \layout Bibliography \bibitem [ASN1C]{ASN1C} @@ -2785,6 +3198,16 @@ The OpenSource ASN.1 Compiler. \end_inset +\layout Bibliography +\bibitem [AONL]{AONL} + +Online ASN.1 Compiler. + +\begin_inset LatexCommand \htmlurl{http://lionet.info/asn1c/asn1c.cgi} + +\end_inset + + \layout Bibliography \bibitem [Dub00]{Dub00} diff --git a/doc/asn1c-usage.pdf b/doc/asn1c-usage.pdf index 79e95272bfa5004f0eb808f9ee249b8f0c5f643c..60776e4cf375506669dfa6865beb4531007d15c7 100644 GIT binary patch delta 45152 zcmb5WcOaGhA2;sU4!6Dc-s3Eey(1+uGqP98URgOvW`xU1sU!+nAu_TOLPjz&Q+8wnD`};l5Kj->fND*#jWvG*fqo@5vC>(|2 zhH+y{!a6!S+$RF<+`ZhKd`13wFAW$FG68o@N{(Y`K3iU08YV-kcNTv4Y|3cv|RnTt$rPnG~%dbZbe0? zl&+J9i{AxqBwU6YNbwTJBpRMM-KI+2xNxoqF6TILbH7tEb~^%kg5K@L)j(ls_p7dk z_LiTg>z4}hwP?n=d!C~`r+OqKKF5Sdsa;}czLYF`bqPTW+v<1MR5~FV$KpRGWh<(~ z#|9NS9U(phUn05rK+6WNaFCUwJ1O+0wh(%-jpa$qSC-_jrzEc`iC{1xad(wp1>bq_ z_(X#>Jx`z+!$$2`)f?p|=4rlbpNq^(Ulm;dMndU_$a1C%*|aXD*KiMa&-q;IWjMfbh&J@8OAUe3Pjzxo5%5p#LK)pp;%>gvf7COf%2 zoyMD0cX{l1zW0APz{p*DJ=oMV!UPjY{tWK9ub+>TojWvB+zY}5+-0M{mqq|LY2=x) zn`sD@QuFZe^z-Gm1k!<=bg4x!5|JPV-!Cfpeq z;WF@}P7R%WJ^g(goP5Dv<$z{3A&x&rBZI_^#tN#e%ngLIu>tqE*>EF5(R$Iz{7Ns_ zp~=92{#7x2uratU3!AhIuCA089L^1w`FSG?mgR5*MI$Ot&}onYOq_H;@?|PUI2zj? zxEQ~T3k}1Li|SwF0%X_=)!=ftr`&KkIb1&o*b!kPV7TdVBVf4Z!0XW*5HKvq8G`rH zxT_WLoJR+s6eR&*9A|-xyo$JT8;1!%$fpS0kstvqIZc53e44;_PD?bYTS68<2d+#4 z$O&5lb`sdH*l%fP_3Js`> z84-Z%g!}HDm|-U4NeCs-$O!|xCIx)NjWZWmA(ZJ#5FVhPj|%sYLc$oVfdXqNfcNKt ziwI?0zbcZJfIin>MA+>|1Mksvz}Z7&KsW+Zc1(#y2>DG%0+iGOmgacFaIT{2}J)h?`xM@x@#~a?ceKaOZ)-X zfhuF;NEoBPz@y0XWU1c1!fPn*oX*DfDR;{FOAA(W%~gu)lL?XYGaQ7UQ4Y5U9qTN^ zY}^A@P#@w2%L>p|P5z>%d}*bZcA%_7WHrQ9vqE~@y=llVLrku#MkAkePgszpy84N# zUDeCCd~^qzd9|N=mGuIKnV@(`7-dNCkTK@vC4AJZIEstF%v|Wv8Ggl13TE z9c7$F`yZYJPh?Kos?SR@3#Hr&gO#aCkUOach#&IQQ4dE8s|{9T(zuuEu2H5fs+}sg zvpz|-(7f6?JS!bRJ0}uUGyMssh|eZ1s1ZoIVj)aBwkY(Kb*MO*-BD7n;b67bg_M#1 z5;=KlwdDmuMz<=a)>dIsxhMI7XTnc}m^tq~`C(;x9oen(ah5_%8+x(YPTfIVr+bVB(C`Ro@Z;(nj@CCIYta=&_e7JpUV z`-%+l8gX$IWIl6;>s*`A>$x+}9y8W&JUyr=QQ2$5Ea~~rJ#UiG|iYBpWEas2O0#BI+O6g@DOmWtZu{WEVFA zek_mR$MOh%#1aTl)8gb4{73^J;79Te0Y8#&I2n9wJs{xeaEJtsIUgbH@!=@IyFw8- ziL?baWa)tBCt|=n(hle^R|29?c7SJvGQcNo2h?f&1#&-(Q(8_^1`X$yMk6GpQF7b} zBw7+Fi{_S=m6pT~+MAOfI4ep-dt|SGg8%PM0dGoNryM_9kcLabkTTpzq_iX&DaS1> z1DBM812jScSi6P)$Zq+i0T^~Bpv{9bFhGfcfT2N!AqtQoDFH=YO7_1s1_}-?(~Q!QP1jJ#v3#D&j*Z|4UGTpG3sb0RgfYe1tT%cX|YF%YM~Z;0Ph71xeu2xb8FA z=pigv*$6&vv7rNO!dL(j^}m2}IrhwKDC95TwkaurtTYm|utb2rnJ) zoP(~jcT(9e#9i{`O(@kAm|XfkO-`LAVgA}Vl$$6VFBaW^;wlS$B0g}QIY{!2 zf+HQ5yQA{Eg{Y~}=TrQ;S{SdB8`6CTKX8jd`1btz>0pjP&!nRz_k`u%vBbwP-Vp{L+S<=KajfL_{lvLg7? zWUI7_p85M_2=Qb^3D4KW?N{!P2VV|PpWre3a+@|;_*yKG+Q)m*WknnFN^DQ{(mHoo zIy!8UuCvw}4QYfu40l|3MA2uTk+7cS`(R49lWC;hIWGSB*g^H!bJ=O<0yT>lPB;6c zYo9Ei{8lv~rZrXS{mA5#dd+*pji8hs@n;*1ne7k!-jA5oJrM89Pk>>n-iI%dDcM#; zG;DDxPe68T>+>#VYwxm(VW3x1fQZ@=?YHtq8-yh1f@8k%O9Ia_s(qlbnv4-i?ikeT zpg6p1@Wa(91#}DaTto%iV()NxF|Cu z*>*~P&kI&h19}4m_RDp*e9{MAC$rPs%;0t;8_gQtS+3#g<93+o%=POMCu-%1g-br( zPwdY6&=rtuf3l6vr*d5#S&XMe(|T)c+(TW7j36qQfkrozIC+G#p5ltSUzN4HV<7A; z&)E$^gnNm;*E3R6Lkdj8z}Sbx#jlA;wg~jP*TZ{cR`7;&7d^!*HbJimA zsDjJu#98vm>@~QWEBAHI5s!P!iL`mYC3*#6b1{BHlHKf}cKc4?zEy=TJsq?nn{Fi@)yv?ivx{ z%;RMdUHj4djterv(KSagyg+)^r2eEOzeBLmwD=ge71g2H%no2SV7RnECMau*S6~gNpQm zqsC7+>kaV3gH=pIM1^@8!t0h7>kU@J&hCY`XJ6U6O*y^4&9@eh;ontCJALxvoEl=V zwDlr;poN8`X=Ohchh z*){L&=FSFNTgl$bR)(=~u}kxKMQwbr~$P4>BT zv6S|bDJ_}iHMN$BB#cofy8FS*SvSKlu?KQdC7sW|cr`@rU4uYv+Y`O0P=Qx3*G1l1 zW9wIR5D(t@>hi9k>F`_GUd`pL?;B+`c=WBsJB$TU`w%WO{Mf06$*HF2n5`dL%*`LV zBW?tG^N`C}mH$|OsVZ9;Di`E@D#PY0s%g!S@Nq?Bj!itNK~*!Rl2)rL_dX32Pu54# z=D8`Xn^(4^Oq%in6b zRIu4+6xbWRLSP@Xz)$8+CZmV$bsIdT&O)_#)#roW<%n?gx8FbLF1~)E%=SsGHZM*x zSJ8U+)+hOGzbNx$3(PqK;viCJ7gQn3_Ie0^!w6eMeRz~LNnSGNjd3qCy0%u8PHF-P zgT4Y|O;(cOQ+Oxc**Xt6{npEex5LQs%slt+!lB-K{!6Yc_NGatlv*$Nsm`@D*04Md zIsIC{HLvZmrRU4EfsnzPVX+M-VIlIlKuL#|LGEm*m#xgMeX0J%?2Z#W`1_C2IM|0v z64(cep9q~P8f05Ay(Y@TM1J50H1&&HNK!mVZtLnKPPh2J^;v4vaPaVP#PrHPM%hn$ z1tEu1(x9jDFSioZ#&$+RFwn}tz4+VtMj($(GUTy~h;@5^wuZzyKjNVCO9;rRyFidY zuKJzKLN5r;(*avF&`<;o1Op9vtV52qRCr7o<&JC@Y&T%M1%(D}ts~>_r~h;O1~df` z$Rl$P{7jY`fdXK*;=m141_Buv);bWz zjfnx`B*`1KPB;A&0nRt(0e(L3>+{m`Q&e7g*pR&%#>jSU_k9`x62iAeCz79e;A0|F z-v8MDZX{FBs^8G>FUzLi+UZ~5QvB(nJmvWX#XR6`mVyoAnCwQ*k_)dyMyKin_`*cj ze0RG@&Y5%MFAX*Z#K)U>{RrkemYS5SKI}Y;i6;ACU^``pirfin%}Ib$<!n*(JkmFLMbk)3FPT>l*VR=T(w1k`nWSGZv7%Ym z1E!RX0uJKB_X<2#8YG+9BFOD{h&|2|dd`_nHuk(dH?kqGQN!2X4%85qC#*1V_`o`}~XE#a~N^ObqboAJ7Ll zPFLIBiBqt>cEJfrsS(5Cu4wB@9gaD5V~3`Y{|3P&Ylqj>jN>7S>%3$yd`Nf(BzSUK zJG3UIFYl(!(Qm3ZP8o2jpz9y4D7dTn$E2iA@}(N@qeCw2EpKW=^M-*Dd(&YnsfkrVc7_jM%Q+gxDPnTi-n_EZh~GKjagV;7}==cbWg?dilHI%4;F)*&5$ix1dpAYtoj%ikgd;~Qec*a zGL~oilx(x5o{Z%e?r}rt6SXa;EIEO*HQ%MJ3Kl}os`PIU)m_{A{E?fDpI8Yq&_vgv zf0Fa_&W(|SI}%d^AJ_VnE}RngcW7g>C^IP2dA3SU=$UWU9X-=fS~XZA*yHzn$oJIA z$bF)|LQU5)`zikvygQ}3aJq`=x;q@QQmA1xrX?RTQYh_6)XVZ|$IccN>T-R5(NWO( zU^d0w5*Bf%=W)bNJW1|Nx)=%)OugwOEJ7p1Tw{)Hf0|{t}Fj??9v2h{W+o>M;&d%^H@&j2siOBWO``p5p%EyAmUZgx5o-B8* z$$4>AHC8$7)98g->hEgJZ1c9mF|K(nceqmFJ@v)xj*7gK`sh2f3MNX(OS7t$?_ zI?5{)CpB``(#^P-1vo!l51SAfD;`t%?Dp&j?3UzX(d2LPAp<2Muga(OT)uGaTUso-oLX9+(B&NS-IcK}qUZLzcG3z3SEVZ7cGk|LcQ*C z<;UELP2T$<44-5XiBz_5?>UHseM(W7SSKAzM;E|CYK(w%X-UD7bi_MQ6N;4h$koi{ zrO(j*`c-Y=Wn(?zvWgd{<_$0DQlAacy|rUqJT>X39F_tZ6(84X7R;^R_%!G7^o>vN zl*Plq^Fp%ubcOxZd8%P)abcuV#Pq zeX`g+n1wDM@~y3UXM|VTsWS%jcQ2M$Y=lQcS%?N+*zzVdjyi3hD{E3{eEL@T%V;T$ z58v4XRZ^zSMd;frja)5BJCX1jBPIGldS{Q;nEUf2$_dZ56dxSo1y=9p0g+Z-5ESsu zN)Nbvng(dLp$BfC7QtP|a90L^NtYQ|wP6$aZ=W2eqmJUOzc}cs1k!SHN80L~yXUwJ z^}&?Q`x}U}@cUB?wp?Fl{UkFSW0fe{o>En5jv@?A=QW9QlySYRg-g_ zlk=_uXP@6}3s=rrDM8oUXJ%1?L;8X{YdPq#=E0cDLq)YYN#jO!*3au}$(Q)~%-MA_ zR*?6czC6?*6EH%@E4h3LRJnzz3;QT{D48(in?D!QE`d{QtiRXm5YSG?TZfvWvN3w*&bWhad z3wY=B{fPslLHtttLzIuq`Ru&0UW=)$XV&`-Wv?2H-@%jLQj|Y3N`5oLuCt+-iYLN1 zkgJ->)#im_+0WuPC(I2Yf?>L{HdEpE_;qGK2nJqP7|Xb5tvk^Ftl>u&h4{B@zuTB= zp}mNh)h&j@?gT~X;bKUoAb&C1VmWV!w8{Va4y5Z*T=7b_G=~lQ(5gqflY^j>`*X1_ zp9g2xg-QxWiw%b!2dmJ=QkwdgvwJ;rykJKtw^IJBWzzQ$`|AYDe~ z_NO!r@pcaz(`15$lj!mxQAsYQ(>bPm6j!g@b$rnu1@&6vUl>WS)Tr57woNkE@eg4&K6%=7O>V9qiQ~^OH()PV&{+Ul>4^2nZrZFN}_Og+hnHq6a zuV1B_%rQ-nNSl)IF?q2UU;lwJt&_3vQj~pGW!RIK>LqJ1Bk{L-)M{95fW0a+JguF8l7Iet2c2>}@j$#}*Rf zzg7^ZU&@NG7+>6OKG}g0dMQ>LWBJHNOhP7n$n{dgS$TfedwA)zJ8BsQMhj$*RdcSQ z7i_N;V**QG2418GiXNlAd~++!PS+|2KR~}|LAH7Yfq-Qcf^Wj*o^UUnnM_e}O)v|T zkr0*W;Nl@cc|V;|L(g^Dt>Cli@Lc8{lir{KGd%dLbU7aVVkQdnMVd@CUUbAX{MqBD zyT-EZ4Xvm%;ieHLfvmxl&PJ)SJ&&571!#~pkS9LcL&Q72B?7LcFI(ajNm&xFH(@@$ z%1}3ss7$IYn?_7BsUFm%x_>W;)BXOX{{3ja=8(@d-UzmaA?L5Gn-fo>2MertCY@V{ zTy1EYf%Tm+{?DO{7|usa91Ub~LO#ffyoc6Y155QvS1Xlg>FICOm|VmJd4Ku(RsEDH zlK10$E2F(|1&Y(FBGW6!*Fc(Sn*!}v9Plt{&#ST(mbHD8Wc+2`8U2SJHq&&Q-qk;N zs9LK6zvCnV;aJSMCx$55;UG4Ok==AB=m-cgik|J?Of3H%tcD4#4Pd4l6RsSRUV}wJ zr%fjoZ9}di@-N9GK~rjWCyPqvGNf~oq%9JP5OTI(S%*2xtUf4SixHzBsY-fVcABqT zMF9U>97(Geth+g%o09lRXXuZJ@c2zOnKx#D$sE*i49_Ub9i0$xuK#yW zk{=KyoThaKI-R^E|8&%FP&J6brEi(`pHe1DBgOCQCGPczfve8N9pIa`ZBjy}r! zyEOB6iNogSTZ`ioJ&sSBB6mf|2@AELw5CdYIX~0n(yUf6gzwefvv7Yf&>A+3=%tdIvJd&|6Al9fc+2>MEtowGT30iuY(7hz@ioeZZsfd z$>1iAFN44W-v3Xgk{CFi3O7y&5ajh2h{`iE$Qz0E%YxWBlB+{ zMFueNPy|O~;6VrEda&Tj$oy}(btL33fZa11xCvgdJ)?0v^xIOP;nU%a=W} zEfKQESibCWU`F;o0yF4iSc(#`3be+Tg#kRP3cz-t6(Aq>7of)v15$&|1HE7w#J&Kr zV9V6lzkm{TEVNAtv<9CC0>h3%IA{PCq6oqM;zBsUW-KKRxwqh|3;6%=_SRA z0k5NRX&DIcmNi2RA1#erc;SEH+{g0cw+PVRaUOg*7!KAN3H^pId&FCEfAW?rmbZwH zXd!ce>=;*)1^U2@3xq5-+C+DRssHQ?hoRwMGdUEt{mR)eTN2E-@p05EOi zhoIDd?26nmGAVb2O#Uuy?IaWdXp9xU92#7BMIb-Ml1}d593zJWGgZU@8HCOo`v z6$98G`~^zuxy)2=2s6;MML`eyLslbUI9dG%;~`<#75Ihx!4Gk`-f3olI0FY_QvFM? zV!OnL<2JZ5A)%dw013lxF*gGe{>L7Jt=Sm~!jMOZ@Gn_G$YXVcJjRZH-2o&VsNhrt zSko+lga>~eCv8vy6=~MAVB*ccN6VA0h!1x2Q|88}(;VH>0p;l;KZ|SWEP!w|4VYiV zk|754f)kT4g=+t%8-63T-@})`Mmc};6OT3oyC4v7%x)k-X3$W8#eR1lM&uFN{i~P& zQ_p~zEkWX=)c|szuwe6_kYJh#R{EZ<#BnD$`^r3Vz$FI9!{FVQJaDyp?RUKs`A#rf=Z`nvl5OW3xWcug!AdbWm@(2&+Mk0yx+cEGD< zMPTWP1K`@C2*g%80B*1U0>oEgfN+%^7>~wM!Cw_5KyQ^L9pYbN8VSauu~}nI)wI~q z^ikRvGeFUX6JFT2J+Y#|awV38p+LV2q0IlS0rZpG{$5FOEJ$AI^HhKgABi=ExapB# ziom}j@W|BDLUR8>=f^>CB$!NqjhQ1+N6r66??_o(wu5UkcG5=~36ubFiv!RyjkWbe zfczGFT5y+tCw=5Gqp|=eT4}(FShl^`$^uB~vT6K_WpQ2qD_s9OA>)L}@n=W$gd-tT zAafXNt>Jb=|pinzDI}T_I&a;h+FCezJ$i{5?aL~M#@%0j>_Q>-r}x2{N>z5`MkNL&oaqx8?;h)V{S zD1$tjNTw?U!U-;++G{bOc>Dj4=6_qN|4{QkNeQQka0(dABTX@-2U<7h0mFTqfM-A+ zYxiF)Zg8DI{VQ-hreDB6B$zJsJHgA~>@Kjv|FXM4Eth!*|A^DTjLep8QH=Wy1*%pU zMby>-^u{W+gOCTOn4y}JeP=YYs5N;yHSF^@9anNvQT>djZ zo!-;ul(IK{lqcjBi)R(OM)^sJ`v7xo%_~{T zVrtDWP5;7@tS@I49<`N?oZX#XCJV6DcKZWcMWV586llcY{DI%KBpNg$g#?ZX^cN9_ zv)_JY4X_PRC(*-ESS|Rk7dJda0p~-?|IWq2S`cWg1p($_h2bMXg8*wmp#Siokf2eH z6=44{mVY@=NYHTstqKBcjeI#l0;DW1(~JNG+Kf2#)ojx9wiY#J%cjLrPQ-1DigDPG zi*|wb*(u4QD<)zBLCx&SXJuu-&~y~-HeGhU{Qk2yb>S27(Xp|e-YrUP4z7bo8dr39 z3)xw8)Xf+9W5lM%+J^VUE}GZQ@IR=nD_@Fh7E=2d$OrL!damjDhupSMUV+O`ZxEcK z_MUyyIfhwNu1A}Py1faWt7yJ__3g;&`g}6C9sMlN^cPhbI^)Xenx%n|z)jXb>oP^X zD_hlad)MIJnS$=;*AnQNgvs@hCwS?J9!?kNR1?6i&ML$U=dnb>T4hJv#>G8Vg`GT7 z+r^eGi0t;$n63qN56;GV4qYjg*SILAadH{uVWfdcfEIR9b+o1in36RbC?#!YjpMzG z^}h%x4=Hm=XpB6lpVB)O2gP?+z4g|lrmv_}`nhYO+UKXmscXp@5-mpOR#vH7lw~4` zUr^1pb8jh5#dc{1eLQuF;r(^0$g^DX*Bnx#M9rcr6}!D|r&4de^g>_3Yn~Z(j#;m4 zO?|a(i)ko8x+l|%^75%_k!{3ld8`N0q6Kp1uYc4$=}e_K=RUmmu2s?Wgh7cJUdY^3 za%z!V%MSI&`QTZaOQh%0wr85iIdfBmC0#_5<4KnRxv7)kn;EfMQ&8EIQxx2fc9U(n zimlE)@SD?_zC2wzJ?Y_5b8mU|VQ*uumCa!Q&lqOv@$|GuwEYLoflPaSmyPcI-5V++ zw{BIN@8?lv8#&~fXRjkWtzU&N1you!*-SSELnKS4FE0kN=8U2;(F{B5#vL2;tLHh& zlhs*^=j55;y~ApKR|DOiQZl~Zl{bs3TtY_I7gBaK^zBY;Mm7vxxp=$q%*8D~bfl2Z zj7S=WEmPri=SwHY5St879Bo6O~~#vzc;W zsoB{YYE#IApV5sU@McWL6EAo79Q-PoK}=7LnK+>$l1TR^)YXhLYW`b(1tB`^CGB~x z7@CO{{53y6sLbNJ7;CNTmxk1cJ!mvE?isYY_a-EXJF{PEUf)e`kv&$#Ot?MFr7EW-NJboX4P6IF+0z)JF)$yU| zE3kx)BIT?rZ)U@X(mP~#oaM8ZZ}Gv{FV!*vLq6^Psfj-GwAoKzT->x5PN=Tldp@@2 zBVJP!_O!7ErOzz1VkC6!5ks;;2#o%$z^)t99Jr@d#}j7tsP`2>t%a|_6?&9 zp__IdA3Vjj3*PWWS4}e6aDGJ$r_Kjdur;CHLj7EM}>BbefIx3t8QSo+4aV z!N44o2Z69|UfS&mgjL^MMP#?g{chLL*_#g-$mPh0*4r1~+aeN1r`Zb59zux6>A&^; zse-^W8ON@g>>o+MNH7cpN`5K1V*~N;6d$DQQ7i)~d*qt^=2^&sUYEpih7UPAT2>N; zwKUK$No?8<3JxYW%OSvfIZ4n@R~KbcGtz@=;Lc|KqUB_O<{2uZB}{>S&YDApeXV*`_;CDEWu{KxmQM~6gY{zs(eH_zaI z1b4#Y7zp6fV7v=VnQA|0ssBNiK5XHfUzL5DDSx%^C43|w1FKnpxvId)N_^vM!x0zW z@ZTb5bw(Xtxni$SQ;_yn`SI>qp@UG#>7At28OVJV#b!Z6U0(!D!l-50vHbjcZVO$G z{CFEXFZuNeJdXO14@OX^Tf0nk#EK`g&$-K~1(aM9(=Q*&mwIC|avwcC1tpmIII>i| z(i8LKB6ru>=qGl(OE3LP=RT;!Fgxf($7slxjSr@^teKeHRS;V1AAYbjH+GFcYILYa z?pd~h@6ghn52j?yC(l*I?K>y2KBXsTAu!KLOWCw7FC2XrMY%UzeA0wMm!!Z>WOPU@ z$?uY_L4x4N())4u-(Wrx5esh%#Aeu4@M?@{o&@uQin&eiG^tV!J-MD@&lVu{Rhn&~ zjI68fLNS$+Q1?W_9d-N*ES99FKhWGNE*SmrecrIejdWhbEWd5QZ2N45y~8|TtPk<| z5H-IW6vmC8Gf3RZLpKeX?Oah`t%aj+9T;RAnGk)@5j$Ohr*q>P1{0G~q{0q$f?8j9 z6{n?oC$Pe=*Z94-?*um~u%FD-9?R0uN)(>ddi~=jVuC#S6?Obbf_)K>(=zf6iU2UC~ zoV^I)wMwQE_0JEN$0;x_EnD$?%tqtUQx#XtA9I};s^~lYIUCUBpDme+Z!G;ns-Dmw zy;SV&NsPDVV{S6prdQlDwVh@^X8*ko`D~X!xoxvdR$dFI?y6<%@EQs3v@T!{J zoG<0Ye}0P8Gy0xBsr5@)f{HG0`uH5~*dnXkn%JK@FcH~XKGeV<3$ z0=F-TGd?t7Jv?!3$aUm$=DR?bLKmaU%RLL@r`=b@Hy(S3nY3fvt419lcSeIO(C=O< z@sv@%och`pF(Rrm*~|E8F)E5ie}!*5lE8QVwxlQ(i6xX!%w{%R%pZk6s9dm7w_Sj zw~S|?17Z6gW}zuzdD)KDAMz6t&Xc|oL+3;7zLHa7JU0CK;^s|CL-NG;Ze*q}qhcdJ znSV@U=oaWgG?BJ#vIuUSPsaqP_`N`9m54pPw)fl!Wo0C2#^H{6@q<8PDpu}K-6e;M zj4>dOBJ02T#d27`SO9sfxqi_sxaj+@(iA*Ki9J(tni&jd@g%VQkK;2(&N6u7Qj`gW zJ0khBiQ^N#C@_S*Erv1b)@y@NH*AUZ^lH7D-4KIoi>i8Q($L~l8eDvLze>$D{>AhM z`+A8gXnfk^xvtkeNr_Q=BxF7W*D7An6QBL~!1}uE&E1l58m*HVurbcXB6h2ZlX~K2 zd^$T?X|uNFqcWmGea5FYEv9aicDqaE%Pra`pAjXK+g1Ol(ip>lS;FsslowAeags-; z)s3EIyO{J^#KgrXBTLDW_R&lH>UVD0_avOOmw)n(#cQE^J=D z$uOhz+_yQD+x51GloEB_1n=YYS*e#7#RtOEUuJ5bDQxXC4>YPLRw-!6$q|ugF{>6B z%PP8*!SdQQfTZF=TMgz`ZCy@nxopg?s<-^5N1?FIyN;Ldz3I~G(J~2>ALFTWf1=^% zIv4^WuvliY0M%&hwM! zx?Sa^_D8fIx1O&rH!~$atlU~YF|UxKE`~XLP*a_fd7rdgNovqWL^9{B%R|~HP`8K< z!3>XuGUNu-&f+unIQa3=VXy@5925x{0K5!GJNdicEq4^W_=9jjANF7VwHz4#7o$Vr z4#@tb2CQv>eU8Jvz<2*PKXC7uLAwPsU;a?sa@a5k2(Vy}bb@_hlbwGH%N$3rKpMmc z$t@y|{wN{>eA*<#gr0cmcrx~z7u!?iLEfl)qUO}F2;14ibB5{a0@Pm}@8(^yx+e-f zt13W)jF&sr&(f$Edw(m+0$=KcZc>(x6Mw=>;pKd7jSs70!qzu>%)*jZPB^^(FsFC1 z%;+<>aq<0x+y!-o_S+v@bM5z-P*o?bceh<7WRVLX5?x-EA3 z?CLUo=ABgPX`jyYHsjIQAfoH<89ithaC9%II7q`mfZ;w7v(L{mK@Di>j(i=2kl$Y?>rSM%E zj+i}J1Xb)as-xJmV7cZ9(>IKT*zp~B+xihuw|%VY;Ehzb&<~!lzj$Mk_Vrp*;=74L zS&wi0?hd}00T~_uy<7eZQa>Wjkzv!AH&e9nh4&VU3L4x$6I^qd+Kf*Mw3H*YumpI> zS|7c13_`GAq(-`$U5L*}*hxd%q7#{Wg+I~Gsw76o-dyC!W7Bo|-r=LxS=;^4hfY%8 z`>Et*S(ot_F0}h+ntQ@suc}_{BNYV{`v!N1JYQDP5hX1eNjr#Y8K%4LPUZ5j)g#Vi zeB*T`7kB$&@9tY(LF7dihqy~y6`&$hZGLkkL)xj{9dom3{@UbRb<|o^ZS(nJE%kiF zOp5w6ax|49E#tzSIwRUEIhnkDj^vV=uhg-D*5D-zO0){q==@m z*HymG150m=E4b6B*wanPM&T_QI{lMv8~Xwg9;Ea!H}1E1ttOGhnDWFweTiI2e9s9BzgIL#k(oT}YMx64AX;t&<+#He6 z39|7^Gs}jXn7BIp!Z=Cx1uCNmT72g< zl_CT0x2JKsZI`&bq^Tb!bU(i{zq)Q*kiSdvdDYnDKiL1+EdoZ|Ss9Kv^f$>2@-rA# zw*9Hn|4Da19mBaO&=bQ-P1F(60$z`#DC+nqFzWayFzWayFbeS}EQZ1!3044Re|Qpr z%^mxoSMWi=DOVj1zz)bcVK7PTzmA~f;F2;RK8OMj?@EKo8PYKD7%-SXKw}ICCiiKX z?R-7p4w4Qot|H*SmHcCVpupqA*t9A}CX_7b5QAs~0`xCYpl^$k0~-eO7LFTW{a+1$ z!0nM|Ovwp`TP49`uiPjUS`rO<;y=fN0zE_U-yx`t5rFccFgTH)&NL$<3OuEZ{VN9$ z#Rq!>Ys!E}jzJe195whUcAnmp3c&gS86yk@9&48Qr%gCY5(S2uX^drn?L#ycoeSr{ zdijiuNYHVW#vXu{mPCP&EvOJBrNJ(bzoY{_{@)cRxRE%;i9_Ok3Sm%j;-1rGo`*nK zXu$}nLK;{$~1de@@=?R4}XNp20)S$^h zm-(3t!u9j97#3^&=Sd$F!VA7aWP)vo*Km_VNXP*B(|^^V=phLZVv-=uYuYDj+8H3C z1k3|_f%SiI5EyXCoF;?7aBax5K!jOPKhbw}J6|U)PY*wCDZ`T%=Pb-KLs%dzbjN`5 zKh%jpWM0q z&s=7O@DZTla+xi55Jn<6_@^l-K<$DMW;MXcnBAgMfKn}zh;*v9p78SJ%Q3{PtgIt5 z)TmlQ@|H=C$okXV7rZWCW?5_0cfNxB<}$S>eQ8&Jt5ClBvdOA^b#}b&i5#6Fe0$=w z$kBRO1-$YrBuzEIx%Bl#Y6St(GJJrw{}sb$=LPkG`?Qzwv+KgSH)#tbRh7eK`Z4(2 z5EMyyCBbN5P*6%C-YhkVzEE;{HF>zH(V1IG5fNWhBrsNxte}JX1&KixM<=R#VSDe1 z2{qV1g>OoFQXh^)EUR)ix3iL#6GlYfc{?#!nG3k$l~kn)sj{J3QAzWkM`2D#V^4L5r}SrwjDe_%2={T!WzE=v&UoMM^5|L%p^N$92^ zEyt5n2tT7VT|3>(ep?mu@clBylbYQ=3TB9&s+f@wIjnzd2RaYkD_i^Fk`sPd7;HpB zQob-fklf_CuT9C#0Tp;$_ ztyinU^ioV((iSjMhm;F7<6nrw^xP-(4$33!KbS7;`7tf@6?65)p#=V6P3N8s-Ik5? z?p@9=m<^>vv8psN=Esf~w`A}RKQT9tr^2gA8dh1t@n_0T5XkuN+Su!^s@nD)8Vxl~ z4HF*#Yljfs;r8z(68ASvJqKZ55Wf2kL~^Gi6vG)sxtV+~h3AWGm$+iaG199N;d-{o zN}tb6H0?oc?H!!_V(0N;b=NGG*x(9PR#16$n*S{-LIFv zHSrv>#jdLK#TQ8GaZ`mWi(!yrV&iO!Hq(zW-iI*|+ifXW>gGIkLQ}!X$@ZbCS@+Ke zZJ#|?@#O08odl+`n_s``{z8@ctQdGRPXD8kXR7Y&`a%;IG4&z@rER?pg)dM&-O0GK zYpjc&wGqdUs_)LYRuAl9{qZ2@RJ-SWae{&fOeD8 zXdHRL(n;bwj&wU``~Bx^4{|;BUzBrn+8f7a1V7@KyCR=g4t?0sTU6bsTvX(2{5eWh zaq89kD8Jy-3;ORfJ{(4TefhqzEa=o3ir4zr<%J&?O5)#;Jfup|Sfi^ZR7=fPot%F#^s0 z1&=QGwHrf)B*xv*8wPa<;+x6a&T?fIytTKNdcVDY$50bvQ_f!1WkdjA26#49#y;d# znAKN2#kkzQ=Vj}xplw?h=$_>e?zOO$Sa|XK+@4tRw$kZaP$m<;6W?h4VR1_;UVibn zcBW3}R){qv3o(n0Gn~+RX~O?jlqXKpi}OS#83CJURMaEkrtLNT4vXoOSOqJcyxT+j z9x4V6BNw?&xPK>4YX2@+7KHKRn3dC#!4HM*br~74-ux1%+|4@SB@oypp@F!58`(Xt zBs}pu>2m2z*SWRi6nOFvZ4xtJ7ksNgr=2|cMGcJMLw{M4yE$FcioH7eg?20zCiG`4#9 z?#``wp6oHA-`qdHS$wYGi~CL4vh)Qx19@Y5ZOYsCbP4Rt7}(BNXMIr+yV84I(Ns$~ zRS8ABaQ(FlMLea`;GSNpm-FO6s|Q_AL3Q-f^|i;4?CpgglVdxJds#ghjzfo{l3FtRNr4+f~LQ(?ZL1H$=3>>>S$7yTvv=I7!MnSSl(=sl6=f@M;b3fbAu7z zs8lSHkr?(uqWUsJ;Z|TZ34hzw7b-2w&(!z6otloym315c94DOB8%*LdxGPqbw?de( z(%50ad66dllD~7{<9lA=&xftMrY~Pqo9*IYmiLoLxvIUFqCc|vjwWFY<8VJ;agOK> zgR`OQ-khIvUcxKZ+s;viX((0sEa%#3rl|@m;sq7&gziG(+l*+7iJc$(1SQifs{-E? z9=VBl^qPqhNV8*-`l#U{RquknHQti`+NqGG=*ZI}`^MA#-Z%C=7^NQrHC?vk)WKz` zMGIB~o>!^+iw!dpIcYi26PUB7+N@Wg2E47xYvRI=QFii9WuLmjBI6YADKb0mv7G0c zU_?MK?3_JVc}V@%kUazcE8&jv_2v&Z)urAET8ipCUO`>i@7kO3(-Th5v2F-UXl>Rx zGbS*9>EXAiWl3U@(lrYXCXzx1j<)^Ij}zl(yjB-BH0*m4Q})Dfk4sKq1bpXNgUd5j z6_+H|o)?$LZe&&SPYEQxp4u?x1UeV`Z3n)8JAeRHAd4M>#m4)sgB zSHTzfPTZ^g?Wv;hnMR9@HWVhKwM$*Y175ju@`TTccD2E`<^=~j!zUv1y4rhX6?V} zAk&fG58lv!OEda0e5IMiip4F-mEvyr=!=R)D#@?!0zKZ6s~}ODnx`IbV?Ges=f<&9 z+}-2kQ*BEpaCvw{0JkeC9`MueafOycnpaOWMv&?Ae@t3wNzAKt2|Ey*wV z(o46$z2t(nD@Iv0Jr_G{IXxPJ|0u>eO=HTXXK`Cw>Y@qwD`*}{irgyi|Ih=lWeY9x%14UFxr2zb(+Gd|I3 zTKyew)3o1jJ}?*Aq_o_RG7{gpoJ1Ih2^?TgqP3c5AZY65?qV6YWw%|xEDo*A%4$vo zzGW9O(Q?o|+LoM4 z21F+cS84{n1?wyBogK>Iu9zt|&({_URfyT-OUZljE-dk3f9h=Bh1^LKgRLt8T7z|EcRc;Hmz<|GPw7ev%!@%F4RSDtly)>=Ck8_EucU z$X?ZzjASQUvQpWFkS(FCkiAFz-xu}Cz2Cpb=kbyATIY3M=XGA^yx#BkdG2ov1ngX- zntU!rF+BZ~mloescNqC)g~Yh2=D}d)ojpXQDyXuhu`~SQ^3u-+dW$4Pw@YXvEcu3n z^7i%UAiO!TriRcB`>>C^qF?$+D!yO5_<%ldYkMZC%f(j#vgIOU+Jcisok3E9n7L>@ zzT@lnnLzsqn|6)Bhlz#B3tpQv$BOVI}(wVbz{a%jVZ8?RuTg7|M$0SJH5< zXGg9UpK480i@IP~++uCJ$qTg^B&;BnyCoF0#%9N6!R|aY+q7FW2g)8B`b-*1e|6}B z^MEmIT0CCnR@-}gzWiiO=A!-ncZSTAbji3ge*W&^@+6{ev$rv4E}pRzVSL}h5r59%d!PBJ-I;tk0%W&p>6ybD z-B%*L2TCfrBnA>Sg7I}JUbj&R2^Vc&W_=CacQsIE+aBp@d-bkq;&Xe%xuJ=AGm8p& z-wE;unZr&svrl>Jkzicdg>lhpym&5LOYf=b_Mzzk^Ky^FWtO+k7FHiJa~3N)&G7QQ z+yGwU3Na2zf+A^B_u%}G^en&m8hm*##S+)~75Vu_Z_LWdqGhZ}hWt*(t*Nu+!g+UT zvJWC~^*uKNc9-T7{X4Ri7nwgx*F0iVetB(vFM@xlvB5rqhZcwZqeWR zKBqXEiYRGaasYUEMCfsZF$-!UFHg33ej8N#20^(iA+0eSbmIaMP~x`4C@z_r^rh6 zOmK?LoQWvlH*wqHMExBttw6&L){m7J*Mjn!qx>TdODTtg>Vi<@JmmbS}KsrV4@ z%}PPO+H)-u-`cG|IlU^H$a4~D$Y99{do0SLoIOgr!~Kre$0cJ ztRHSUshF6gLTPJb7XA0ECKyT>Z!O+6<{~`Dt+;t@3@S9EK8plrCNwodh`sn!-Mwi{ zznQ;Ejq%lLB>Vi^pe#18IJlb_WHL=N6bK*y)4-!-w z;ua%c6!0}r_vB*h{ioQ^^WrVvWWccoHzY^Kh?1Ll zNxms24>l~BJuSG06qDCFPh({F*r?fYuZrRRC(q)`;XhUSBmB7PGM(HLZh9Q-uYlXcD0v( zjv;l!+cW1oJ6|_6j*ATzDNe;t2vVuP1TUGrX&KSD#+UB!vk9qjzEm!ZboG*w_FB`o zGk5DO8gHfIrZ*q#QjhTt!O4F|MfHH6s_lb`^IPs-3pbDQyRmWKFIUcQ>Uy)X3jw)! z30U60cf5u~Y|eaf`qzw!UC^0$Hr6d-B0T>ZWoTtYc(LFznT;e|Mej><=MJ}`r^*vc zkL6`Iy~z=Bk!Y>u-wjbR{YjO+mYfK zar+#~J{?wnHp!djNK2npPs-jY$~DrMqF?d3g?q2}jCu;`Rj$0e`q=+ngJyju+F1l%Io^{ zn`{2JgcYGQ+JTw2dqgiTM`VYX2A3?@YI-NfLYBX=-1sg!2_6a3`}nYW+JIg?wDVp6 z3@#5RZ#gVWi3P7vZdUgy%e9BD^Mez#j%$XG*}--Pw~B^lLs9ptqUac844z!wr!wB= z^aDkFzPuivdn+30#*X9p>Oyz22)B#L&3RJYin1zlC!I90FJOL4g2wMe-l9C2;0~I? z+QnR5#g)TlTC?H8kBcEJ94>cwUd9AnmrCw<9uXgG42#H4sxxaLsHjPts?s4SpjUc% zc120=;DVT1Y?s@wIj)vrbL?Y*NfqaOs&8QsU>iS;~H%;PRvzqXaNmD?fRt>QkuqioD`U%r-T0cWgx_2q??XrX&l+rFM4?;;Jen22%-4Lvyy zDh|ma*D&*hd?W+wRCDX4*Q(qP^)Geb-G78bq%5FbVEoO3;qGp)|5loS^|@W%v3zfl zxF%|_3__M`_mJ0ACCnQEKY+5aX*!g5-YkDFAcuk}c3R0Me+&-#Wih*79aUZUHCUf< z<8dBEVa2QV^=A%htcR&mx7O^-3}o^@yghegJYMHak{1&)sMV{=7F?zixcVlNA*R-I zG+oUVq2BwViGOs1%Pm3p^?SAIc0ZHXY#KTa0i0RJi35rgjVOZKQryvwv*BBHQ$^%< zyfkSnTLy>tL%BYLug(2+5PsnIk3W&GDi=ssRkP+yPS@Z$Z11T|XWZATKd^Bz1ReC2 zd6c(J8x@%$edLVXA*&L>_PaIWI$~`u9al&a-=1aUF?_Q0JBMiFni(7%ep~!wY~FCm zq)0VB7rsNslxnytd1zW%aV8OJ-T>E5tn|O5L zo!eHLevr?-#h}2K<0SUG6>3~as=r=-uIw#KoJl=oYA-ObCokla^nTc_Nb;#r8MbNS z*Ua(u8s0Y5pJnF=9jmhM8iFDPKU`8(x>dQwY&1a#WoIp@l#!;sXKrlnz7WD9a5d;& zQWU>g-d*I3uvo*P^+cJ*o9T0Ht2MXpx;&8>8x9x?Pg(Abv>m*-%M>4x7{oepj%q8& z=bkFVve&f%zu{!3mu-Qx4LuiROx395p2(6sb*j#o@VC(InCkZ3qSPA~zO^()Yol4C zGx)%hOYG@Adlr+zn;fY^S5>B})H392b7vmrb%4u7kTE;$q#;m+xfgxZE{t?O--mAW zr_-^YHIM1IpsE9QHqYG*@}G=oP5oJlPiL0$4`xHy?cu?pZl zRA+IdU=VVW8E>8^qL{Newtm0PU?;)%eC$}c+!Z&o1@dOOVU$FZsvVjBY*o>@#qi;U5xaJ9 zwI+Uf;;h)c+`-2r4^464NX1vZOZ@#i9JIf_Eunl`gTowxy=%v{HmW3FIQk8O0}pG3 zP)VHA$Qo^k;r{dOl~7)fIbE|sum_c!QR=0X)^Abucm&&Pk5>pTUvjNVe%&!ga^rsg zE5YjN0i{l%v%d=~nPiq~a2H`xS(nTjN2~frH1_SL&X;`6X)|Fr9e;IxMD3@5{XmhBd)!@r$L_Xv;VNt zW$=zV7%9j5kVd?mPC6V%gU!}>wH_Ze(@zU3Npnu+;{-SK5D<~MffhgEk_1Xh@^RkB z^Pv5H&xqhl#{E9R(Um3XcZEw=95lVovR3Tr(X~0uSzdqHOz5j2t6bq1{mAa|=mnu> zB{?wVNx}ANzl>!HvH; zCw;_kX(i5|MO`ThPBs^CB}+EJz0gx_ZoW4{TaexaTfgS4A01|UHim%-x^ENnowf02 zRrDwdX>M1eW`du#KAYANPL#5i=dG@MPDe`aR+qZY8!7k7cb41XVm7i??{1HY4~WUV zv;~8+WN}2B{3DjkZb&9s5N})RzN76OEbBY_ake;b_nVtpsx0mNcMk{qk?oL(>1}Pz z>`VgtNw2(`MG6NGeR#W|f{<>{F3Y9%qP8dVJxDt_`>T80H#>dssRdz>8Vjzwm99dm z)~;0#Z`9@oal|l#CV5=-7xt2LW|(a@^DHT&D9LqO;=a`zliqfhS3L+YCru1~75M2J z2d-@0=G*12Q0>dcArGIB>%hrZ)>|J$zEUWEmTUae#Nmx!oK3~0=~DiCa=#U;haHK0 zQ(vYd*ShduS@%Ve@V|ONf7SZwx(duPW0$kM{#TFf)qEwBcIE&bN9a3OVSl{H6_Q@< z@+mcyTAkrHj*e;kK5W&*)FqW#gac%VvlaIr`cz+3y5}qAAD>G%HPQ2IgGZ2ywB~o7 zK-hB|t7k>qAJf@Ob37Jh4;z#eqg*zUJzi&nl#%++-tc6-;&2mJHhY!I7~QIX%ymyr z9sg`z8J?*TL&>cnT(gkbk@eh@l}k|n7xm8oiPvNw`IF68WqyML+hr%8;svA*YJ2)Q zh00aN{o%nf1%_ z2ZbDv?K$-3WEr~P*9Ww`)U)pg+d9n{)U8@cbtpH3b+jzKRq9Q*r@eNZ*M4;TjHK~1 zr#3e2QxOWqx2iF#s7bkgJ)_{^!8Y})F%rK<;aZ*87vMgxaAeMF=qu3!JL7ofR%fNG z+{vz3eo9!4jfNSCn#jPSyu8J&bs{}6b5Y-U#S z6&=;O@`dnM-M?m}FQz`5l4SVEvq35o9f=%cIrhEb@g_t z#+Ubye#}9(2NO>_4Z=P=cvV!P;J;Guc1f^J_5AxMTs0F{%ii#{=Ax*~hj~4f$=emK z(5pH$-FF)r_S2eE|IkCHRys$oeQOK+?Dah3;YM2>5{5S#Wj`#bHrm5MAM><1yl_AV zd9!lNE6gE}_D95|!@f{1hn}|2It|`-@HrRUHe@E5=+;Cg^J9&;^B?-V8o{we!}yoo_!DFaemFCw+K;;{hUJFA4$ zAJppJGMmbFl#q-;(I)cUtf)E@H=oygDH|SiI*&tf3D^0T0$$yWbZyIX-(%0E;z@nm z!Igrvp~87-B63e?GfQ!=pjWT2b29Mv1E07Nl}Zrb&ksurBns?crgLN$*qG1mC$?4X z*}=Z6w8iF`geoqdk+BjOH7(#%F_f?*ueg3WWjWA7t;B34ba#RFD`|eWMG$3M-mmej zJB?$_qp7=Xgp=TZzx)10dNVU# z6Mlg}qNHJ!k!!v=TSP|wLhzE{pGga6Z{NXH4!;1qzJ<3b0_jQqSaDgc(EEAo`cCAU zUf-jqI$lWL!2I{tceyrNlR2oO^JG**J2IrWeF_WJ#0hdxZV~sMt!!pEcYjOcga~k;gryKP#d5sThm70 z+;e?vmWbbSvs?6BQ%c;u%3CFNY3JsIW?I<&ksi5Yt-5;kc>=RTx%U}TKkkUx@ZBxi zsJCSX-fX;8yZ1#U_mQyK(uD(Zvnb}Xx3dfMP0oC>gr{}hyGnV+&CB6K9?K%%&)eM! zMa1UdU@KQwQZb86Cc4a@rJAStg6U0TlWuNV;J3}X1lh@8ZqlUDKCUb(UeU%03 z{I!vh1j2dA9?-yzk|*J@uRmFzs~*s2cHENcZ<%ZMY;KE4jY00sdPSrui_LBg>c%J& zI@S0I(JvB!6GWN@JWIm0E~|GXh@E4b<%WpTzu*HqXfd2`2(T-lu;|&- z_nc3@Zo5$G_0dzoG24OUq6(=4Ui7ta!o%B0-?^cj3ci?#dY|qHyv~cntU{y#CGpwM zTsue1)5pD)?tj90v?p#Zx71(Rj{EV$O2?d-*IU$Q$r574%J(|&x7XrWb+7QL)eJc% zwYcAFCk&dQsDGRF{1^Ux6QaQ>i`CZ@dTgq7si}H-tRrjNbzEHg( zdx~CvYz|nVZ=gOV9_88a0yZ27q1U~_nCqX=5;?z5YWGlzc{^-?GA<7{(0<&P=E0rIy04?o#Q6DF3J_nC zdZ)_BD^A`X;Y|$nGxH1rTPkJ{2lhYw^&Xt0f1!{T54Lu}eBpM+bCXqn|H~RQk6WY6 zkRu#$X1=~f@I1aubrbG&yjZ+pFOHktn-?-Yl~Ts&?5L~yjepG}-%?6`;?;FvHFD@C z$AR6jXxUD#6RYHZDDvTO8C4&(_d~)-<&cS6Gaxp!ywW(GdQ4)V<*JAB*pkseX!m%$ zkX6Q2`l^97+p3#L5Hr+)x}4y%FU;^K9&+U34^~g@52K|yJv@?z;qem2Sx%1XNQ&<_ z`ZB5BmM(mH8+0{sJYt?+%*SPjekj>oASEcN*uu^oTRV4}KS{P()n&DP;vNz8UQM+@�@qz1&QmOZ! zkew6`q`{9X)~vhIPy$_VWroj{c);P!56(0>T|Xcybq6Vs-SpG$HS10QUc=B2CeUJ& z8eiVf7^KoLx09zWR{C*qPn0)BY*eOKBWm>Ze&ld35$@H?2X!_X2CP=m)Xdk2i7jji-jXi6AuFYe+e*-~@>!(us>6KNQ zr~&nuxylIBwnFWJ9fwDEzVZb^XiIi49lmBI zBQE{Hd|<=w%^1fO)i#Jm!lv_6i1_}_xrna%bc$Q|Mnn%a1z+!)mT7)8W>85{yS-6| zoSEq1eP$f)o3puf|AWJ*zMRcVxpI=g1j1zS2c{Pfpd1x$*AFrqehAEq1RLllQnp%nM+tpjE zmbZt>D*K|H>6HyPdl$v?bC@o=4>(4Bzux{en1cFR11}VPo_R?qbNe^lY8*S;i=Ow(eUwW!wbu%J zHe;j=rjtXHz|xCqdbd=J@=jSnl6%K=i)fXzqmY5E z@-!LEG%rN0Gjt>pCfYvmQ43X0o5){;wOLjc-)6F1-B7Do?Rlfeb!P>no)qp zgp@jD^FXup#){@)+ekHD$ik%s=V_;YZ^<-u)(;lVxt(nxd&|=?Ay-M&3RpjH-TAh4 zpW>CVCppP?_-a;)8!Z1Db;5)Ch~K8j#FqYU=YeX!#}{vPCdaraS&kvCe~f&0q_Qyt z*NX$RAm3!fK z#1LD?dVdA}w#Hq3l@F)aN02qF0JKba-|mBfItuMC}`_p~iag$k~JS zmA?k~`MS>%P>g z8&Pl{IFj9)ctj{eJn%uqyGR@dJteY3;2Zi5bW(gk(dg`belf ze^PUl`8TqnNRc1E_54&A9&LOIxDo~9=YI3tj3&fLThfjHzMJopPrfDFp=loFJr2Lh zJ?7r2Gw^*S*=Y>pGPJ)i){#v_4{1WEGcax0e7UHtYHGY2-2`v0q?#=uA22LNvO5qN z1h3k!J!54lAXJi>c=h@1XUS_EtVYxggf6&KNDV3ieTEU+X_dgSS*{q^x(%eu8+`R{ zS&EzNUopfGl=(q7_(h2f=aa@-(+FX-- z!!raPB}qP$Iq2eRd{_8@c_#b%Gb?xmkZ6 zb>KC*{1y7ibDkld!#AybfZkL7&hJUV3mi|L+z%9!tfS2KaYv zGxy87d9P!l!?bG!=+aV(zLMxVdNWtYRMn6VEk;ZX$4(C}$G)H)dhB(Z!#!f0((yX` zM*|v@p2Xld1BpxXW!wv>9#l;|a_MC~Low4<8ZNO}0=WH_UiDj&&@=>Z_-}D7*HACu zGX(!ZMjXUr5qdm@i3&}nDzzIi?7W1Q+aIU6H!Hlj$%&=jHZ+<*yIL+R9ZpNo_WE3s zb<~ykSvp9?sD?c%KpcfubrG4gE;gx|?0xshL+T#WdO z#y=+3d{)!nYcyvtzUZ9hXZS5F{~kT-+m#82WbN@hlXKSO`Boyq@0fl!Qp$%u(A(}o zsg=$|60g$A8`(1T#NR5`tQas)1J+$}awHtFZ_4!G!;W;qXiiA(&0P)B5b~3PC zSbD7qdrxcBd&Y1EowE0Bid2KhC4M&p{rAdm$P|45f%KJ}zq0$xSV*7$^^SRAyp_kwC<5# zjJZ&>r}Ht|NdE&Nw_!4K&01Vl%%OfLkZ!uWH?TLrPfd^1ke&f}Rm$JjGu?P6+B z1hG!|$0sIAVU0WM4@82*Rw_PCYO0XzuYW94e-#iiQ@9%y;))-)@btpaoP>LK2h{}h zKr>o6iThP-rt?R(n6GW|8>UEA_aC%08wEX?gF-8m_OMISGC|t$kEOuh3?t{Eqi4jt z*(dGCqz~qIZl)22+~}T?=7{3#%@LNpx3&HIOWP=yQ4^bTK=J-4g6w{7Pf{JxY{4FeCsplVTwm#eyW@KZPU#~QPd?$ozyal?6B z*}gX#r^?;Kuhc%HK-^vb!9k*yCt3)`N#B-!S*bIGoTWUTye$NEyYEs8bic9OPZdhY{zkTQj9oa?s$Pe@XsfWdxG?yU=<A5D7+} zW3}{7AFbDmOP|WWHan}UQjtT&ryIj2!<4YC*v=kJhnvf}Z&D@t3HF6X@R?=O4dM@? zesqmL>Ov>Y3u&fTO_|so$=NuhUL7`_Up+jxjl_9>i5TJGdVlcgwISxi^EvtPRtXn? zkLPIwh*5KdAXZu6?e?R0!vPFbS2uTQ8&g-{a{&PJ)b!*JC_)I#r)z8BZsW#?ILf64 ze9-aVtLVBnboJ#FP)70~2GoWeh!p=gm0to#=pyQlBZvUSEf3b4Vz>Yx9`I)Tog2R{7x`@TDXrgrLm zcPcU|r#lv>UXPF}NdmnZ2o@;lZKz4;lWitfK*GGYr z9>Fi+zxObO5IsyvVg)yL5h5u{DMLtTahf6_&otG~-hWaN3o2ksh)&%;}m{lS5>FcS*ET_Me7 z2_6Ga+V42E?v_-7AIQ5m#tO7K(Qf1*LSz_24pStUZeDbc#edEr6noMyzV*zhiExuWg&PiY=3L-^H!*FzXdm*9OJn& z1h~>?q%%zGnfAXn#1IF_3rR3eNKlb35MJqds=8AMSxpg zhC_TCl6XPGiKq!?gNRigtG;!Q{9TJT&X_By#eKcjwi$|73is4+Sk_0;*gFoWm^w&C z1iaL0sfl_E{yJfNi}VUr#Zait`EM(FydQ@-Kafvzh7>FkifS@=te=0T?yx@`Tggbo$7nwXlqHO&m$9g_sX;TN?f`H6>(HX z+wZCjt%TgTETAtl(5UIvyYVPF3nuM&WtN>JM8Sm@3>J%sAXKEtA3gL<)#w~7X{T&c zGThp!p07nz7~kyNDX55CtDJRrk$myUqNzE9-O_4EB7g`yPWX<@4e3}G(e~}B`V(>{ zr-cDg_OG@nL1v%ldVBA36y#mpC84a_>iN1vt>--1RlM;5fA;zJ#BZAy9ywQTaT{rRk1HA-wayd};}+M^=)FO>PG?#RH}OYe1L z5J@uyd1h*a4Rwc>=LlJX>lV|u+`ndh**75G6MfbYzJz$cRPxm_DUGOGb(@oHB8e2| zdMg(t=M(4hC$W^KFdvVt@-i)_K8CAK5s?smKF=gc&sVY0K4W=ECd)}vE(X{rnGyJX)ex~cq!gw zGPddI1^JpfoNB&EName3{D5}b!rLCU&&w^cZ|>6EHI`{!{8=n0P2d8qXnxzdygPN^ z9pb5w5H6p(Kh@XtO&H14S47R5ZqHuSJ|H7{XF>jr(k$@CI3Jg`0$v8Y7Fg7Ty}C{2 zlLSdbkX`gUL%ZnF6nA#9K8>#3oVZ;h26nvBv_0Hs>pqm6AAag0?o4yuE8d!e)%x9i z=~S|{sxolL5A4FS^0caWu`Yr)%}ZeZH8PMSu_F>Pu<@fSy9S0#XqG1Rd2VH0;I*Uo z$i~U}=lcXvrhx4%kok^rf&s(g^}Es9$_k|+?>ZZN$lo+Qyi@(w&DfJLGxN~Ms%cQB zeyBO2te^*s*Ew{PU|@dr7mJjcyuMM&XHRz5Os`_X>_e?rUmc=Q^7Xw&p){jIvfp_v z4Atk=Hn_@<}e-sowMu-x>;C3=D12EkUMzJ#79dI=W8c_rl2t zl@zyiGfAW%t$VHBcv0z71L^C>4%ETRS*lKN{e$tS=ot8&=UrDOv$e(Q5{)EYn>8uB zRB@JUTkm<+u?1xrg46T|x>rp3pu=euVXMP=ig$_9aXUqz1fo|wl$$DlnP!_OP#hF% z&3;-?6%m=5Ta89`|C~B^cZuOKjK|J%AgtISPkXrdeml9!+gG;c2{z~VdC0Cb9MXK6 z^9AGCOlE=Ic_TmjWZ>$2{-rK?n<6^56X`$vG}6*$vRUcI8?K7)U@MiuydO^@!49MT zYz0Wy3el|jRNFg75^xGd9g31S32JKG;5C}@4;^QW7N#DlBJb4Mo7RjDDo-$)ro4*% zu9l4Zb1F3WN_3`bl;^~OFI>0NtnVg@rCi>ODV0qdPiaQs^$&2geHK~gM_Z(KU(c6) zyo~S~-|t?2P%D?>%HG9%o}m})UcQ%0)`fY;nJx=|T>ts-U3?@+`&V-PN09O z;9xbRj9H0O{z-ORJ#yfDX?M_LAHkM)aydTK=3CGDJV;ErT6Y>gLHV$u(} zq7)>cD8!OVlZ6Z6#T4#w&HE&CO_QV+8$Q28Yx9b36IWw6h&Q z5!qL7feXPj<|S0lH192A&fk@}hC@azB6-M<2 za^N((7oEW$>PR8{1=rc-{DMQA$M`_`ea{*0)L9aZDq#X|@VEqftfW-{?QQw=Gn?X0 z+h;bPpKo2p4YMN^y2Kbys62k<=Z$R1=i;LJUGnY{^XHR(&Tr_pp10U`Rsrqx-?<99 zl^}C13Q2Z`RFdqhoyv?3Zk6!?U4_4zlF}` zvmIbBBF}QL81(fWKZClp5}hWU++%UM8mjW91Y2cf%Nah>fZqki4a@JKZxo12#hod# z@gIDsl3F~NpWHQsYSP|Uz0xys=Bx(!dpOPZ8Gqu|;9oYUZEPWyn^MQS0;#3|6{@10Ampb2zDW^^LM8}JYcL@fnxkc$)biWMLtg<%3%NIJ{ zheXH(m$*OV%J07lc0X9YwzKo)k?`99G4*YCy2UTGjdKC(4Z10*($8SsE8N^pYT1ik zrit<5vq%TJv#C>6Ztq#C9cG{NT*)6=NJbI9`dY}9Hv7Ws4gQ1QR}iZjgrdx8RV6*l z`|i6Rq^VSiU|Bmqg2*n07y6_l&g<JQ!TdV6N;rK)DRcuD08 zp_=P)PMy30zw8@9J61zi7H3+XhU}gT z6{KktPp|7j(%svlqjHwq3UZj`iM~lAAt7eRrvYExG>^$0$+cB&db(RA&EWWg-uteP z)r&kaF(Q}t_U)g`VSCYjSJm1a1t}8cAIYv&2@E7YrTkTR;l)-AjYGmfLTumARlA3D z9%n~7!AOMXF1uRQ0A2(OifXFR)_&UEf8e6MnwMQ+D({y^MBf=AVpl|R1g3H?1?@KO zoze7UevYf{SymV)47)$8MJSTi8Zp5*2qhZ_hLP#6j0&U6qJK7+sVQ8t6d-w*do z?DDb9Vh?Xgd+cWw&i0kpL@d2o=oRwa0aKJD-CJZG&17h$liQ`d2X2N7yBZac4u=E=!)*wN=%n1F)Vr!Bd}vQne+F*(%9nOs9;eoyaSyAx%<90^ zyi<4A=d!pihvnbYXC%9ieJRmyM=(ZDhJHKP+<96^O+*iMeS5zSPQDx3u z5YbHP1}8ik@pXw(_uTa$O^WH9>Y_aMQ40FrQo?_?$x zXURNJ3k`Tgs_?W(kG1I1&Ibls%PI*Ft&TW*II{fh6|%{tIcBL#yX3249K2a8sLL;j zJ{2NX73H4N-HHM58p{~IDHszmc^HT|N&7?&L>!~*HJrlWe5w$ZF7W01R!$DWo6VIB^P;X0qpR+p>Mx`gVYyJWwbe9>42#QrB47HdSMX)}5?3-`NS3<$RLAO3lX_ zSh~Oe`+yK~Mmo7KVuhs4*d({mqSRk_wB68p^-emo#p=V^d21d2&il{6m$Jn&M}1n( zpGU^Le^_nN*K@%~ds;uea&S=g3wbb3gT(oP+sZ=Ilol&dF+*ilZOtkjQJM{F>k67& zcuxX$o{W}-o@b!ic7;RkY}MRKdnx>MFEeNu7e!&-z5x2lb1vlyf#tsBFKVy@-MmM; zkdxOE-!oAz-sen%vIaCm+gfA$L7k1$a|fx&qBntD;E?$6pVE08oMfL1zx&sJxddIL zKe`^z1sV2rrJ-d0?$@r>`-1+#`0$WyPR%v$}~F)R}y(! z#e8osTQOa-Eb$M}E)^6-bh9yWvfI`0>wO={-D>vC9lME{%tsb}ebG!D6OPV?ISTKe zLGG8MDEjqX`)W86dHoH{QrgnF99oodwvukX7Ftqqmj2!*_Ee>iqr z@9Q(%FpiN~yZtOQoRH4(Ey0&j@t~R&aG$$)lP&$17&n)@OR|CT`S@xS7GKX1egZvs zgKSx2D20DDt`JPufC)SsjPAH%qVoEu4`Xd_W;PMKd(6zo_S-LZT;4$5l?;mtsRg^`85*Bztr9Y_M)P$u%*@YC63h8Yj&$ zVWUTdZx*L4&2^;XXc!(0R9EKys$rLsP`@DvZ%Nstoe8*SZfQNcKboFz@7g5VG)m`q z8JXu|GjC5f0*+gM-MsWo8^<`_iP=;{+dH*zfDNQ7=f8Mck<3SEtKXFdD{TY&??U z;kO+(vE6SdyiNJRs3+U!Vd*c`p4(m-sGH6)DZg7OzB0i& zPArc7m5tzFL!l1C5^A}bjW)t1HM0wh2y#B3?y*4|PFpy{Vnz?H5(h#VyF=bBa! zwdw%kyn#5z6Z!WlT1Qb$R!s|tNmLa6XHasKSm>XtU8n#8W$FkLBl@QyYULt`4%Orc zGN(Agx;mXRMF=J01WLx?|I5r#jua{si!+D}NaNP+K#6+q3?df?(#-;)3UE)ZWNYEZ zXb9y;M=lybTy*mLD1|-%*>;rp4ETL~1rPi_!eKBq@Ux>^Fsb1(-ufz^T^ z0W|^KoxmgkiR_MMfjFMkzuW>n{OwpC4)pwIm5|ZNo}^Mdeo6$4b{yd!Jtq3^uVb!xpl>d? zfdbFcBTn^j(n8hJ+Z|{DfAhi%bnP52PzN>U50X>^Qa1r}`NN*7se>i3b2wz2%y~53 zOZt(=_P9f4;>yiiU?*m1&Z#($_VG!^B91X1#wWVrsP5Wxv3^RZ(7 zL*++PQ6)eVU40YDIQ_H+<1qo?1AYS1c1(by z3CEk`n1E*HWTzby_&L=9{W#W}V~PMLIv3Y5OGm>1+#F}51couUF?DvgbiIlm8+x*J z|04q9BcL$UKt;DhJGIBVZS|2S9^aN%GARy5cbn|2Qi9dDF>vx2Q2n>DP z3cYqGTl|Cq2n}|!*-t2d)L_S08-H2>(?Z`1q0Qb&9YAcbW0gIkAc5fl!NE>8`AH@8 z5}ugg6N)fEIeyr9(hy6ABWBUi%|p zqdzRd(2IY(fB!T?YvBo+=SkPVG~lNM1Vbz1iP1i(gPz67fpS7Y&*CI+_%Q{JMC%7S zW!j(7;pl0cAPk<=Inv)#bp@BB*vW^tS??hgg1q6<4Y z9FF@zuh(%_vy-W#m+J&5?6?Mcu}+Y|jtRglVaG=2qzN!d7(c2w5X4604A=)=fHeR; zaz=hTseINI@lssWdq z?!e>kV~nzYj4}d_K#7Kc7_a<2Rn$D(@7Ov5w(GC)J2quNf2jK*AWe`ksxJhj0N6c# z6jLaufrB3cg#sHISVA}tM2H;%Vdwm30B=`ID=-A;OaMjv5Tp+vs-mnPf>^21iS!}p zA4W$;F#0zXA^`A!%6JG8rWQtLtVRF)QwUfv)Y?%Y`k=rl1XA(?_{<=|i!h)7IGr(y zfnx@ha8iucJ&a! z6J02bHXN9R5Fu2?QK2xF91y}ldcw6RfMG!h+DSUy6d?p?sB|>C6pG#y7^Q*;K~w^| zR0xJGCxn0?95oS*<_Vpg6Qe0V&=ej6G=;$+*h~RgCQ%Q7QYwCaY=uI=QR(p@a@1rD zh=W=PTa$t34MHfE*rS2576?d$DU5PEDnwf}46Y!;0;q=ABVnL%woaD<#!LuB9tY?- zKjg@)ViXGr3!}{AfX4iAG$t-)DMSEOhAtK2N1xdkrNH(@;l=}{P<}KL8%C)B41&@; zDiy#MC1CRfQ5o^TbdS&;Fq#6m8>qE-kRVb3A&8E7Fp7`x6aS?_0L)DEiG@i+gPfkC z2?!q9;D2dQ2msrNAvU1DBaasOZ$&f_QxyT4AlkP$T@P3;EE*6IV5lMl0wj#aVjA#X z{$rZ{$jkwqL(ymd(I8fTOdpW)QZfNVjub*W0Y^oDts?BmvHzC_fdD2KizkG@|KJIR zX?6fUg)yxdKodkep%BRJe=RP61cEt;u#f;cNc)!=C@>vNT?2Gj5bcEgTMr@(B)Wy8 zVxmASNGPywm|a5z0UQ;KCJ=rorm{hxz`Imfa)!Xr2iwtbCnALi1BdM&F`vj1-4;#5 zPz1m2U&K0QzI4*_!9V$2-^5rUvWE>G73tT~1O1nM1m+9&m(CyF4%k9lGM6$P-k zh6n*KYCQ&&Lm2H3o*oN;eZk^M7!JXlI3RpXV-Eoq2_3a#^e2dEMS*(4XaG+L#P~nu z01j&i=1B?AU})d(REJQ=ktc;g<3|UU7&Jjt$rJPjK!+Eni-0|ixjs-R6b<==7pK?tI~cnlgpI%2_~{aJS8KjP;<%AkF^BL9&k$Dje)joBeTfX#$S z6BNd>Q$R>@`XrPBx(32@bXa_P5J4eKPYWmqO!G;-KUPOjSP12k1n4n8wsZvrj*aX; zDh9BGWyk?jj3r1xVFae#MGyb?0Q);U|A{UBM~~6l5=$infxr!OWds4&5OZZxmC^yH@k{+%E{KbGAGAeu2F zZwTNNVQCZ`fHS~a8vzKG#ewq!5g#UV0#LNUIWj)~DS$8p%O8XPkG%$9En_*Lz-1?v zF#xVeu@y=PX#AHM?ksbtiAq{im1JMDtZX)=x4GCaPk1P{f{(r@f5CFV*jF}(+ z&l8IofukoPr)CU@rBe?-H#%jzD%nwtn2q0Mbr}0T!IF@|| zpfyl8)6p*K|L8&(>u3i;G%Rz@4>*9BMuY!<#G(8Ue)NO3Q?dZs9?J}n?qA^o7gAU; z8nC8VD-B!+VEGjM{6~)wP7fl4#+bwySPQzQ6=-~yPt83@ZTH3!%~LP)HE1pqe=a}WU_YQUNa;ErI|LtxoL0U#W} zYzy3aocJF99PNP0Vx6V}z|%oYbpp&1`kwrh6a)aL9E%wsM8?z)z&4y#W3>DQfTx9+ zC#(Q~6oaWWz>N!*E&$OF)&&4?^NO{p1c04}H3pztSVuc>?|^<#dU|#UA*@XWtR|NI z0=6DjBq0D?9$-m}ANFVIkbe~ksE2;EaC%_iiU~{nfVYb!YJt;%7rGN5!aAkt$21_W zJv9a(f1o1Pc?8S^Q{ez@6~^+8fQtnzrUCx2YzlCcW9=ruL*a)bPjQ201~BcIG+_vq z5&}jXdv?Nrk;H0y^b{XG`Tryh*tb7N5Au&2App4dSPBAoK$vR>-0J=h+7a0NsX+t* zNn$2v8|aP6x*i~1ojE$J{ANVGOR%W6O1WALEu&ks~&LwEzEdi|Naw= zAYjZel@Pd>#B%xsf%_;-#RMJzU`3jM{$gEs2*QDz$v?}4JiapoqyVIB`$POgL)&0X z8nAYl3<5XqSO=Cc;8NNG!fJ40W_h18FY6w1-`*_1&fG)`7~{PEz!@S0FX}L5j^lOK&#QO zA1F9lIRSqG^FR6vfPoT`h5?uD0uWg#Az@jFED*lPN<)Pur4f2UH~4QrZpgsl(!e7z mz`Yk^{QuU)s)~MR0_=u<9pLD>7!(4WtpZ?HR#~+h;Qt57Fm5dX delta 37625 zcmbTebzD`=7B|kJIr7jA(w!$r32Et+mJaC@kUSs?BF&Z%q$Lzk8tE1U>28n?0Rcro z;5~rPy?UQ}-{1TB@dvYK_UzefuUWI|yI|u5R&pO^$sJsDBPc%%xWJ_V@dFH}#At9R zJPAYs;zVfmsI^Jr)~|8-tKdN$cA4skq{Yw`u!Chogz51#h6EW^-w>UgIi}mAN!#*O z*@)4;Q>FjOL~lMoh4q1oS6hSkz##4 zNG@4aA>UcXkdfaqm~iO&Xglix=v95ZvKf8uZvP@;>AneXPI4vomd+T)QEJgk9n)!_ zjEvr$*xJ2pLzjKVX?ea4)qJ(}2ZhcQ#X573WVuwi0#oIR+Kly1NDK%Y$>@A0S30BQ zU0+0Nmy{MThcL>UpYjn0VOA-x=Y%z6VFlLfLGZ+@Fyv7R!T|+<>#k|&})JDw5&Z`Jl!p=J?NqQa6rn8 zg##)8xxOXk?CkQugWd>vN91yZ-WV()L62O_#ljwp90N*;L;)*&KO%ksUB0MIgT(m6E<57;7d1#S`$fnY%9 z2`6AkKts(hd^Mj>9tx$03jEy>riThbuW1py6tr?Y5-2vRAd(9-48(%}`#01=%Ia6N4Gz0``#Q|d`W1tV&(lcTK=S=qi zTRIsajrkscMK1%0u^0lm^s>MdixD8pAPe}g8UafTm(O4U6l}UcFrzF`#%2W2GhIKg z$!-MHGRXpa$ku>a7Kq_61b!e}9?p9}5{nqHa1#so#Hj@cvR+@Cb7=#^tP)q_$H;3Z zw(ILSZY=^wR^;jimf}T_F0Y*p`in-z83kqFr4xc>yZ_(reK>$K^Vl)95e^M|WhyyX2*b+SqAqd?K z0Mh|7HsaqW!rw_ow3|y2WF-)Uy#ePEk#Jbe)8$vD7EP<%EydQzt+Ee{YC;@-)H!JhSXh}p^+ouYBGx@3tOF#56i|(CB`PVSB@AY3thl}JM>&o~P?y(%Bg@if z?*=8lEf3T9L^CNB(tYEumQ7FFYNr=sV&w@8=2z*TvH!jJRZ;tT?2Wl(bH(ReEmYqg zqwlvqe7bf{zn1Q2Q%35J{y1?~=~Ic3BGxw}O@0or$BY4$tm_BFCnXuO=MU=n8HjTS z^>Tg8UQyzizcN?o8;VpoN7yA7;|@WP&)m#~0z;6_SW|8MbY4 zFSYEkosfQOg)$c6CQm7l=-N|1NR%sC^P-T&Dw~B>fB)?)ov?T|bpxxmukBh4#Nx5r zB)gTqt}3`-NL~Np`wE=p#;|AXlZ_O-l(;8GU!uw~(N*DkN?o!BjOHKWzQAL2P9ahnQstg$9S_Qx$S1N!W2Dz3(801WQEs zpvwIh#--eg04+gWKo}<;QtWRKT?zXy$>)b63E)R6LM{-ryjb`K2n0=Xiv^JZ^k%rE z&?_bOyPbeRA(wW7w@rXSFE!n7h5!ZyviOLB=ARUR^(_L>Wsd}Sc8dZPxdhaW z^1mHERHz`}d=?9!3XlMh6MRw_^om7)5&*P_vNK<^`}enBGVzbM3tU&#x<|)&IcPwmMbKS0O*#&)z+jkJUi_iOE9&&7^@wkoNkn+{gLqa-Tuo)-wQ#j zIkzg#)<=h+Y}v;?vMG-Ed8RJ13?cSB@hFFe5zU36C5DKnjFa}P8=(6!DR^~dLZnWJ zKzwWx`AA2j4Fqs7|1{HaD^AbU(sfU*HwvL$nMIQY=i84ZAwOSCy6T-z*gLBx+jsY%K(xn~8>){4Bz;7d7Pa zlfBPB17qPL7*V?4ln3-H^iv8JdzohTLEp*l z;c(HlJB|C05F{6H&a=eGIB-HrW{D5)diB|^KIEpe^I$KvxNXT~PFd-r-@_cFG+tac z_^lpsqok&Fbb0u02ay2(&0xdWaNIsI&<8I@hVLqi}nAI1l(Tg9~MTTlkWh1v=FPUe6{qj3!mTa>1hDs)W z(DTXmt%V29s@O;2=cF=g4bk*VnlqFH%`I(CHv*z^KD3J$vjoV9M@sR)(RUIy1Tmbnq*xh#`-t7B4MJVRZK>IZKz&-ssz(EkcYxGUthdqpR=( zKS<65NmUP|x^c>5M+fAAc8B5&dtr(BePc3D%HktM!H%3pr?c!J$p*RxaneJ|I2pu& z=L6?%uiMZ4K3G^b`6<%P2V98n>qiNc-xxTE5=g{885R{>d$ShWCg#@ zL~#vV70*v^?I|xajw%+?gNa(9qIwGsO*K&!VKGk^Yi|uo%y-h;SJMW zknLkV8Av42DOzJIGeLt-UBktf^VuG1I}|>CEGW_OlgNNtv*Y=w*KVuF7W-s~gv}u} z9l=6v``5w|rgQNRx$T0(*l<&2R@mXN+t2IoH3qS~zu6#mG%78Jp}z6(RY-i?UM4Ex zTmCOBmF7D%_isOc>MT%l_M#*>oY`!oH# zh!@O_+YRO9*tI`uicRqK2+IgEYj%^&@zUMK*!{@edw(^fS9v3gahP$!$R2@>vj6?n z4^+EJ1>uJPN)10w>`eLUtq5U!>prmN?YH4!_(QEh%wUGebH!4*4(g6|pm-o*vL=S^q z$7z3u0>8W?C_nPf-ytJ1kc7bjH+yah_@!I&x3i&Q?R@`%Ei#-$x=}6ioQNLhY5BdK zcLQ;#MFL4KRO?cSc6a2sbIGDuZGAIeS5^qfQQhl)OT0WUT3-H~J;FIe8mkZabIKx7 zve=0wR$@T#ID{Td;oU0LC{l{W^}beOG1O{gDDsvp`Nqe|1P6QzGOQi?0AY*gycZ?e ziy0g5?(5O+#!gCyz_*bG_{?nGu6c>eAJiYytn|C6y(yL@} zYh2FKoYKK=;9J5~qeZ!>PwU2D4zR~G$^zd6U8*WGBUHaD{qPGRur@*Pbj0IPPP|;x zX?VW!45ErOzfS1lBluoN->L|n@sKs)Por_|4$PsWOCS%&H;FxL5JJDi=M0*644eZelFfCFBa=jZFb;(z|aM}w#O`DoZ1a-DAlxa@S$r=gTK=f~5V4iO*1 zT3f+euO;wESJ6?vS7mnD1a3=*?@+FHA7-mr%S#-{xUiuIc;uHQC=~F!RB+V7acPn| ze$q?MhvhV59_Yv+Bz4|FI`1iwv~w4-SUeJ!Pb5gy;$P6NUp!6CHx4WM8CTx|ZP?Rrvm`9tXKdyOqGlNT3#BYRbHs?4N z2h&O7HviIK@KpObtR|%|Z^DCI_Ew|vn$XgNTUnO38U@MM5Y0b+l3P63K8#rQz2z{P z?;sl^9~!ljO+t2Ja~P~LZ{{$J1tbL0>~?o*B#~NK)>vTSF?P;BtN+-o!w{L0nS)98 z(Z&0tWBkCzsp!WtGWSZ%uQQvrMhIbR9+Q~&XJWd)qq#FU|G zstP3gY0&RE*3|OJvJb6m$s%*~#GjZ=qzFOic!|v_l=l%{uYNe6>f`W?GEjp`%L!dG zgHnq}OhTZ7dvy=Gm>lMW#+;{EY@}63xCKi--{ULb_EhFojgj|!@Zq!6IDv(vxlMXC zah49)T$5Kv)GNia$E}|rUeY6LaZvK8mB^A6D*aOIExDOZ(9YZb>{$0lOU-M7V|Y%i z64oqWw82@#M}>KnkM#oZ!=iCE_VF}=C1RfTVB9I1iof)O=5rELgeJg5&luJ%TGUkQ}5BRQDncdyVn!l$lJW}+Owk)mu<>#u?kaJ#Mo& z7tm*P^u@OaQOCEfi>OD0W4UKg%hoC~vF60Y7ZO#2ADdUtY^JHxRi*mbQ=E>M_H~2D zfe)@6o_0f7p%|5kBD^qpY-j!-2~nGNyGnt?k-nWI#uODG4EkxoQB1Gwm(j7!S=k+ZwSXZa3sU>*PIX-Miq^xrw>UtHT54tREnSJ{h%sVlI}P;bdPgI^4Mu z=shK#A3Z|3*N@tRd*2xJWYCOiV-XWjJ$&p}`ce1UXYE`orVPzeiCp>=3KcO+b-&tx|I97IE$a|H89n39bq0O_feD^4F)honIFp6^Vz>$D~lBkV>rV~ z4L^PKZ{rEvkVB>iFJs~>&E_^cTCuEptJ>DQJc2by{2SdJWQ-C<<{joJs9VGp?%8;t@zNz1toK7TN0YL%j!Wy zA@A*BG9a6?WG@?}o&VQkrSj(dYMx#BSCyV`|CQ%LMcC zJzBJd_!5Q>6WsGgA81KFL_8YIXO7NkV|Wf-;g2!s>M?Wf)Vt`M@#hFPjp}I@;;8iJmFK*2iA+y6 z#mxDS)e`Ib-rzGuubWw8^`In$<9gdlrF%}OEbS!gyOmmZcrTRc?jxMMu(>J&5bj!d zjmy)<22!{$nPywHxL!O=;k&CJ1;IT%Wo8OxbPV#~qo{i^Z&y2;6D%SxQi%b_Z8Y24 z$P$IHX+fHjJX=gtFHmLfI18-luy$jf-ArM}uBS~8a+Ix~YJS2*(e&K&6hrXCS(I|( z0gvPM_EUL539I8_n(qjf`APD^fIg8Jm%P2h2udqq#n}mW|BUy6?(8-#c2K`sV-<2= zVN~4F22+PHCr$|Uv9n{-bBv|gM_W4EXlnj`)r&)2 z-qyTEEgv{RfQURZ<=1k*)y2I}Z9*A&)gL;_>o%4wyl!i&x8c`O*2F|h2Fj8WxXzhp zDIDXDOvxW($#>sI5auo5m1#8SDiwiV&yFQ)bhCgnQ4)xbr4lu@J8+65(?W#qyFBhe z=<{|yw6|ilGI+<}kyWmbqd+I1h4py>!#sIn>&3#oO~Q1z2FVEyH}&MGQ6(_j*!H85LWLcWisQV=LoxZ&OOMp(y_C_GTb<4L-YV1P4(NiNV`Q6iWl=kz&_p zZcogq(28ja_n2LsBJ+f+;Kis%Q+OiAqjHcO%y#6iLr&tKPFbTq_5oO~gJeh($r;ZA| zDj{~@jm=WQf=}UG@znN=m1@4FVDUqp4T=Fbu!Fi#v|xH`8!0-PVdv&}0$FkfQRBHR znmHsn*DRjy7|o#I_MD``ypu&%w~RmYMN{|}Q2lhf*R6+NUw-pLp#eko0(>88|v~cS@K0?ph z(QXKK9WeC9I&vNmkR8vP9>uWK`OKA-vOcPKdaKPDMai<4nbM9zxqS5j_sbHTyQs~< zy>w=;9Dgj1w}nSN3BeC(kPrhGtaHhsAIUr|GAHDCs2_Yw6aN#1zx#*j;~sDtTR6i* z`q=O_`+A3iI&e=lZ?Vcs!||kzF1~gBsUoU;Qz|ycvaNKd#Vo!*D$fjr@El_%jX3__ zcJEi79ckh+br?zb{~0dA|5v!ke~rMw_^%_oL^=>vQavRyS#GNvn50Yx`U@F6@&Sx` zfken0_`kzBWV#&rvde&RR`1eCg5|F0I;;`+X#1tpNBM$P^&l=Dww{`>n0)Je-%E$lFW3>_29>p&ZLuBQn6v?T;~ z^tb^LyUUgoFtuYxwj@BR9miEW@v9}Zrvwu8so5dd5&3@v&DV~>e||Jb;0k6fwWmS@ zR&|LleHk*Cz(0Uim;ek3FtCDuh5txj1^}6nUV?WpBp`f=={|HOM6UJBnH%8J{r~5$ z0KE2pmBUh!5ayj!q z#yCznDkunO+A@+{0ZM0141uGWvHWAqhq@4;Tm_yrdmMzzLAK<6S~lNRH44auW&tC#ZBeOahQakQ2kM zAoM>LySi5rNCAjCUje-A|4Q-vaVr1F_xvlX142SW>Lh>);s8kWy^>E-nD9UKjnJjO z!GitTk48VrD{6(102?=|(4{^g`(OB)F!BJ9mg@(bVxbBnwU!P8sqjC=U-(M=8UDFZ z!oSc$;cK)I2neJ?0)l)1BHS2BxfHSjfZ~}kaO!^rbi@oxrU$PUZdV0-wVL&p18^A+u8WJIw`F~~L6`$z&lft`3A>OF44-6^19m|G)UUIB|{GlaxhwU?l-;piAJ8 zfaITWEbJ1FWrJK}ufJs#;gD-H0f$_hiQfesc@HV*VnA%7Au8mu%HkHlnq-nB#0I*~ zD~ep231aBg3ct&t$d%E!NFqck@4xDi0AhI*z>fRhBQ|-L8v=17|KCyMpZ4MEE3RnWO#G|- zulyx2pp|%e{0K;|5amB2T{!eo8?tEqP3ylE860|@6^36!#`JLL^*Mnx?r!9Clr(1BYGjf0Ak(i2h2Gt(O@i^Td~BGLOnF0NdP4{l*D2S6~6`6-JjZHWF($ ztHc7jDhz>%+-p78T!9VTuQWtf9YOuXfb&WVK=1uufKyf6CGLD#og-Rp0r)3hCZOL{ zi=#qez@8o#@m0?GclIL3r6M?yHE+;Mzv9-Pru_G){7)8M=B58WfY-Y1lAj=0`N(@= zoJ#`)I%gn({o~NVVF1GqHqL(@iC-CSIP4e8el2bccvmO^bFJn8W2G42*JcT{AX}<- z3#2OkQ?0|1@4PhCHSL#$SfARtfwbx?Q!W4dNBr@5|J2EUf6=uTLB2o>sb7929WF(i z63OAe^0CVkJJP^NC+ce{BPskJ<`WLT5*Vys0t0NfU+HT&9Jvo%XqR`9jK1>+C4ZQT z|Hl*e8(IGCefryOwBg7_ zF1aZ?L3Fv^M>C{^AfHBB8oB?;&TE2xG420@x4^;#H;BLduSpIZRDQ@6y-0@qgI^DGMpT)GlyaDhuB{C_(ue=z&M zI0Ao2l1%{Hm{~9|3KD2onoO12_^;M zfw&O5J?>KwlG!z``serU4O~7>3fQG8(kbS@FXX==gZ>aK81e;nd}?wG`mhRu(;JPi z(QLfr%A^ez`o^v~QP8C=Z{A%YWHe9U0@B78s^D3CWT`qk8vapI;Zg3_N3_kx{=|Wc zQISmgR@5fDx z(QH$z$G#J7CxPm|%tyzjet7QL{+h9fzvwO?p3xC6AsLCp?8+r<9NI zdeS41?jn==WLWG%R0$g9slgyw4@kv&W$|?e#9n%Abte4wD@$CNNqCUKP#F zr7pG!z7y1sR#Kaid z8iVkl!d=IdVPwg$GBStZ%qIO`6!%p$rbQ3`6$8S5#enc%F(CX`4Kw^I2-Bhm8c(Q_ zW~o7ZNdN`N1r7Na5^leh)Jy}yPP#)0x{nGMLb^XZS9REQa3LXHVWe8*7ZTwWM81Gu z0Lm*MBt*|I0ztO$q*4lGp`j5Ch#iPL!9#jV4403QlL(6NLXc4r90KJSWdHIpw z1%nG9A3~A==jVlsT(5jNmjwQwwZrhM+F?#0`Ri4vgzP5S(1N%C!yiN-c+x9c5GM%? zeyRR{H(JO^pOKS@uN;v-ZvdLf#8iSXUSSa-dS_2Z$3FrMWH^tkNZ|M%(bj+Q@1)L< zCOb)u9mHMDN)IByLWWk*By&L!1Pw04pXA5}Vn-Dg`D1`0X^{;XtG84VC-K;T=&t5* zu!9(Zl%IIj2J9eq9N$*2c^Bsg^n6k(D%!G|Tr#TK21!{yAYv@owI>Q} z-J(qTO9%wN@%s$7Xb^RhjSxr&^U9~x0_B8m5MdAz zGA>Ip4+aq@=?NqM-T=9YNer$aG7KmbnMz5@!UnM+zIa*RrJ0H6A)A@C!`?yu;DM-Y zGqbYXym5A%8Q#~)xO(FdU_V9)MrmQtj}_YwfFJeM9<26%`sus%En`R9(|-5Ol&8JG z&B{Ey)aL>tM9lb}?)R50)<`f5LT>Vi&s1bZZ)z~;2s*_UV=Z*_g(=-(TLPojhGeOg zNqWa3Ak8RN;8+X;(EA$DJ!xs_f(Iy+1?4eZ6Jvchn+x)tn%i4jPtY=KB5)#&x; z(K9#9aX?0(yDhr(Y(fOY&6u0upq~LK`ci3#q85abhb5vV-W?4E^)KU4osMk$#MCznXorN2Jm^AZe zIqx{@zBRk=-F%}kujuEzPwg~l_QJI;*mMS^ptncWp&+3%BmR89p}GFyY_J)dP>?91 zYx)d7i3QsX?Bx-P5@7P)wE3lR3nF)M5!0*e>^^1@ie44Q3A!DDiTdD79V&ST(!SR8 z>|}fzGqY*7oozW!7F(@`E@637};ZcTJ zBi*c)N$z&B$rTh80UVh6*Ls<8VddZE+&lY%Dq?WqfWzl|rh^g|SNkX~4z&j{SK@dp zHc1h__L=;LsO*6?>x^f>OQ|5l5?mw1@M38XOT&$m91u zXy<&(2$0*^K@sKyZwx;)72!z{gh-8vli|0UNW>Eo8mVHF$3HJ9ygT*zqj1{^*gnC`~C%>h$ z#{{8`xC3?!KFtn!!Hh5Y4rfuh{jz9mRwyR#V7dj~SAJtk7-J+lm%gR|++@QP=JAO$~)>xJxOPF24K zj}d>jQtTTK7Wawnu*_TyB@P$NUj+vyTX2*Jrl$p;Y`-1xd3J|(be0)|DB;;a#%uTw zj#6`2K%3h;%g19O0-qkiN}9hEg0oL+zP^EESz5asvUJAKlKN_3l2stSp?NEz@~+YE z%qh)rP~od(8R16P?M9OdCcAzcc=`6DtaU}BRC|8yLGS%y{nzpQGBjWt|MWo0o@PHE zT<56!DR(`LCV3V8If4?^8?FC zb<&vm+H@LPe*xNX_byY(q#A`TK9z=ZKaJ?e_#;cim!k<5Ga;Ad$YU2;_Pej6==_GY zsKN*7P7Q;C4dpyq^o1yKvydkw&e;PmkG7w%=LUmW14XB~Z_1K{fEi z^z>_p@T@QFE$1dpFT>0Awwg`I2cfr)-OMp^t7F=q_U@Tb^zOFF=8Uam9r!A3ZI8bt ze;tL!z3(5=8@kiCpBn0dX9<7i(j=8~Rvp9c>Xo;11KSx3d%So8k($iVn9Xsw`f(U7 zQM?!2%UxCvHxz6928(0-Fn&DRjFN*bWE%hOPWBTR+Q*KX4uiCyG37G|)9I9EnCM$i zKjX%`ru@NU5%`Z@%|E8zxAc7lgEONzj`A~A_IzfRAXks~ZK$n-G3(o!!#m$m+@SZo zEmSVou~T{DCApi9I%0h48P}wE-X?5wGw{`gSig`6&wCZm()aZS3cCE=6>}X#z2Ica zVII-am=ql1eI1xz2u&-%h9DWhq3(4*uteLS*zuX?B?Ud6&3F%8I#dv2>$Dnr!!$q< zatG}_o-s_TeaWC54_i?wRk7Jy4@$r9c<(eepNqr5nk$|$1%a--^K;d1nc>59FiF-; zt-1k^Y^kI!UF}RZY7!yZIx>&5ovsX2KK;dkgtjftm<=rcyAF!fYHG1d4SK5YjR?bc z_4IF^?2uVnX7ARR;t;=)6TZ){B{Dj1UeRb^sN*gZ@hSI)^NExksrY?qi+hp<_Z-vI z1(g@wEp^{cX@n!}kLPfQ)$$pQ7dF2zy_a9W+1v!tu@lhvr{R%;_cJ7A`iKf0DLaar+HN^QG zIMCI<@RjR_pH{MInk_~>#a$W_tB*fMooL<4S~(qti`gxF=A%DjC**erlG^lBYSiFR zH{`q>xTR!w{`xM0E-n5%(o#`w4pkk%B3H587Qx5GT0>OO{ zH5F5z&xQz$Ft&M|ol3P_soKx_Hu*+jc?_DOnnV($SPzOLG(3kyW1iKHkJ1_plNU?K zs>Q9(x4ml1NgSnfRHRAsi(!cwPPyYr$5hA}L)?DUq~vqcy<97xY+@y%NIXsyi@}Lp zH)+zyQ6$t>vG87JSP`#VW@thV)Qc4tQsU|d(d|ck$9X+_rl7?8pj&F4T<)R5fnJZ_ zlUAB9MH5+Ja>5L0Yqv0Ln2vX(b@PZdM0`eS6A~9CUYV_J6Qm^x%_Rk>yJumgI=;m7 z&QPKCWHbyA`SP;eHO?E)z)4^+YVh;=fcw1N~S!j25#405Qe|q01OSnclnN~p71cn_j^BA8e zMe}fOb`^(1);x8HH*!pyN3pU6@e1J&yw6toMhck|y!F(q1_y9n{xK4)<+Y=@eBrMLZK3`Pcz!s;GJ zPI^z%-^wF$0S^^3aM}7=LD>T`j^tiU!KsnR;pgu)(rbF7kioL)oOfioq-}iu{ycZ; z>UX&VFf<$Zit`h>NW9SXmn-yW$dfR;HdPR>S)`8i$GT{_D+a$!KU)`^~+!U?99+u8c+7xmkT!FEpybDjbRbA-vFizBtf4d@XpI zDK5hc@3kLPxWy7P?#Fb2|&3z=5z* zP_}HEY!VY7v3hc(R1t8CcIdfU1NJ7bWNw@B2yNwA+PXoy`gp5M-}&*HBSM#|Tq<Jz8@`?3#48#`hJeGx+8@$JskL41g5VH>YpQ;&oS@?}rlx5v?^g^wmJ>R=^1PCI?{ z0>&3f+~M<^!wP4~cTDgrzq%N{iglV;DPq+y%<|!pj8SGY65@C8{2eF(g_*~}OZV@?nPoyzhk218jf;aa(;_Z9Bl81_l?$tPPn{8#ilaM@r z@DFYwh^h7f8+)teEEPWWaF0xol1ns-L~i7iJSUZq9eU zfA}%t$Cy@Z@0RkJ|C3Gq!7aPh-jigB{m*1O%T?1u&QUdGd3@tW(X4|yE-t|R&N!jv zp7QJ-4EJ%@7cZOp?Wiizy%F&QNvw(u$2pwuRKG}2g6|-U^3~EhEYB3^mDz(`yLQXn zYrE<{o@_Phe*0whbDpr-MBG^&6*@^Q%0X<^%JEE^jHv>(=#4dQ@r>#n-KR?{Web(| zRtGA+;`*{Gp{M;H*x-MCGb6-BiP;7^F3-Nmcn8J-Xm zE&mdLzp8mi%_8QbG9tqdy)31aW(}o=F{O2&2Mz7JDg&w5wgQfRdLYZ_ZaTXK6@zIw z0k;I(GpxeN;yJzf9B(z|2M{UUgC~b_mf^hMZq$4S>O8BHctv58O81Dy{o=g6#!oo8 zkGz8yg9s0b6U0k@95*7~jL{_IPbQ$f4q{uBe60H*s+Trz+B-Jop{=Z+^QPtE`>jvQ zqX}E@e{~$i?iCPd<_^p9jYAt;mrlU!@C2k zOB~{K_nJ%fJX}+?X5JKi565N;4d-3RUuD|VD)%Uk2EUsTdM*&6cQii{(;43-Pi#da zD_7QE4Sjp-D1b}n9wM@YzQEFxwuar*5lt{&lq1b8RI%j*k7ifCf6Ne^n{;=SUdo;D zMlYO_Ma8L#8`GEi?A}LGtDP+AebndI=|ilPhn&KS8kWTdYbc&>ACdnAm&V z^c6~3k1aBaLae-J%d0i4CwypX)u_pKJlToU`b7G5Su~g=FhYLdT@ITt?RBm5S9G)pyGnl~-=S$R5TzA{ zakUjoyA(MNBw6jceT;ecg&sSP;csbp{6TPQhIl zd7(bHUhnn-e!0v-FM+ZnGH(TY0;NBw{~R6$wTe!eYgOm%WcdnnG0?o}$|Q(Drr{P|U#$9GaVy|0zcEXcqsScAg6jwvON& zra?R1^zA?eOQ(-BOmPVbx94lPQ|kH&FsQ~K$+#lG*@|%p9?n|Ta$o;d&(j%_?}pry z%+5)vnnEB}3d=gD=TcB}GQRk@^G=^pGc}3>6QjE`n9|Dd z=N><_#8@_H-n$OExCz>^8OiaC@@&W0xP|PQ-Y}kaEN2kNdmT_Pb@nqVt-u=AX%Tkc zKAiZ6;H-D?`s0Yu_=P3?PuYW?J~1>odt2o)mNQ5PD;wf%Aphr)Ci)UX?K9NEiH==+ zd4%7^*DpVEa1H=#>l!1DG2q7*uqqQq}dXsSUieOYa$VKr!9Q^B#?P{WC{Ow6bq1KlMKyK&|9$i*n!QKQQ0% zZqPoeZaBcp;6M5Z#yi3cw;8G$poKhHpm)$|CMXSZ?5QXz`RZ;~Z2jW!3)UAOSpnrR zEdkJ4)kU8Xwiscw5$? z`!)V#E7Q2U=%;DZaLN&neQmaeCt#e>xAD`A!dZ&&Nrv8w$l3}_NaDG{#I2dNn@)NB z;D(QuxS6C(f#8cNG!^$q+uFOKHJ<{>$yxet27io3OA;&4NLrN-1`6CCqa(hrp|ZzW*}ye#b8bs1#~TEce>G+JEuZ+ZcLelx1h!KTH;r8|_Y z>;KgC9q?3t|Nm|>y8M-unGwp0yGLZtY?34^BYS6rZbc$Rh>L8p6(J&oL?t4LNQg3$ z6&b1Dxu{R>{r)|AJi7OF*6X}p=QYl`@AvZvS8+0FSr45#wO>SZwc(Jea*5cF7f0{h z{D{%H_i9GGM@Jj;0FGHei=ehrJ^Ng0*`i#?`2ylx^9l}$GyViKNPH7-~^#V32u_Z};+ZsyM^4wL=`o_ec~rXs&) ztUaDNXYoX*@GFCp3GFKhHFwnNYqQzA6lZOJjNK8HLN05>T8|D_o+xE8wk+9*g%c_@ z?<1CvYTkccobYsSRmffaS9pU~nUa0B1M`G|9|ch&5^D4_;n5Keho!QbzvX9-n@%Rq|{lq5)r#DLP@Kw!6sJZw&7EWjg zfsJuV@V=Q3ce=;Bri^)}6nkR#x$G5vGkA>+bm9;cwSgDUIeU)4H!= zHImKhHHM!}rY+)>LuY(wM{{2(S+86W?7qI5LbrNkK#$`)OB5q_@vjzFCBzfs$|OQ5 zUH4tb&V*d?6pw1=f|mS=?|rd?G&GhO7>-F1#>nz0a34m&aEN!c-%h9>EVz zMP7cEQdXeP%^LSe4BC}b-=Vc3p>zV$E(M8BmyEn+do(w%bj&(_W{$@R zryqMy>lREMRm^UAt^20v`Q0qPPrAo{taU!#Z&;a~AHUuiVmq~Jq&w8bpW*8;O6B`3 zcLsgSOK`m5JqW(NcQ0dU(-}`O@K@@&SUpL`+8B(#vo5a}eJJ`0HRE!USBHu2+2b2H*o4E+<3#7_RXbV z$(fE-4Ei-A+ykGOd(X|MK8w@Dd6btF8h#UPy%=dGpKUWDwgIlWxgf{vVhgWp@CM!oL~bMDS`x)mlmGjc6wvi68vkv_M^ z@jTrpALioCPO(HD70l;>t^2q%b4UpHIX_DORF@d*4`r7PS(q(!@>jBSz4~?W0nPhx zH+|bP(jBh77cf`gd-qv^@V11{+hUsU^x?FUNowJfHJYaMXJ~E@ zF1|e$hTB6Q7449#BXYk7I~v603pJ$k3ujH6p1bH-hvc|%^P86Xxw9fxa%pZ4lGJV) ztmImB4D!?;7Fy+fUvI3Y{Onk_c0-|Q%_Cp!3LSJ+W>FJojBA!2OJ3I8@bj^<66i+P zP#r<5GIjwbnh<@sujTmR#B9j}srD?4T(1QpW*2m^bOUS1>I6>Iw?ubFaoJ?)y*i`rA~6ikl{E$RzFd(Zr);3C)EyUpFSx`71ue;)N`tMI zAj=ORFP^9uO%gD+E1H5u6c}7`UB02Y?*-K_AAe&+t!YT+!fd4K_@tGAmm{^`4bGvH zPIQDmbd$*S^KM7VMv`00&EePH8D}a@nWn)kd-mm4j?1s_Kia!?{asmIq09OGbK~B! z4;!BRx{7Yh*v%WlF@JmZKssvH(4_Mo{bfD0?!BjOMPn)gP&o`(nn> zo^gLKh9EaGwkJYfM5(}=uljb6skorChjj(N&w~)A@{0{gX;nWF@+02)-FwP~TZUt_ z&q{QE81dZbaHul(?~_UYtdY_AqwjO>DZx)grp&$--5w=ADm4Dw#m}9Zj$N|1f>UD* zp#t(jCVT1P?yeNS_{D8<=sVPNAX~(*Ma0AA;37L=)NmD|Y|p*1C>My&Dts1o$}+VV zVF%X{qjL~%87mi43f=GOAP&7B@!1fT`aWFGz>5Qor_;GA5&d-CPwZZbU3h2?UR>d6 z?$N5=`oS9#oj)S)6eXARAYBR{@&$1k(bH?)E?YTzoXxVmTw~EF{pqw}kK*$s<#1Pt zH;i*g0@MB4mC50GsAm2~TmLkNAx_*a5)RwKWrrC|35tf)ooqqNEth>&T#z+8F z(D4AD{5fOSyAM~c!ahrXf_>}iQoF~SUwy9S<_FKbll8Ne->b{@)QaNN@TSOJ^CF|Lx^XdP7u6)oqemC9FtiXg%(|(9$ z(ziN%$!*rf@SB`x;MzfJxk4OXMXa*&Cp=e9#|9S!Pi9r91t|RveJg5m+CQ||=9B1= z^iiV(yCiz&5L?-Okyaj9A$nHmOraz4CD$V_`4T-A0$WJtd!e|j$;$`m%iYHPW)g(b z;sZ_c1`taUCtAm6WTTn3y=;>pc`G{I#}CVla)tD&+jp$sdbmwlhm-GK)@SK{=`~94tECVD;QtEc@l) zPu=m{BkeH*`)=!N9+Dm$IOH9B)b#tu_AZH2lh%bIZkMwUd~#7gC({>_SM&;M(ZzpV z47`M%J|0B~5SPE_y4!EwMmjv0=R(W8i`#^ZZa8P6rgubE`k5mSH!!KDE%>92M60Es zFM(XAzZYMQ91m?9n>nv|(X#w;?4mm4(fMl?O*xffPAw;A6jXc9H@C4%K3r-p|2Ta2 z#ghXz=nKr_j*=cvq%YXy_*s{3bSotp$I|KbR$^1|h6L1^+i!+?&0?W#p5I?BmwDXJ zj_^$($dsO@c?mO&S(E8&K9bCRL2H!tF*jpjp3)<67ymCdlekv5k@p{{3T2EAt+NGA zb(FQ5D`nQ-5PHiOZ2i?ohu)(6fD4Vq@07}zq1C>hs+yt7hacw2%*Fod@EYZGk6E`U z>=V9-F*2JbOnwe}@o=8Hu0mEG|MvE5Ua|k9lMnmU#lE_A@wpy|5%6LV4hUGd?Ga|n z4NSc3Ig-C5{<=DcP$Qd%DAG^DMqd1mp^ZoVZMYrN-d|NYuU zdj1QYd`l50EAzW)7ba(qSnx*+-!=FY_-N>c4R=?5D;7$4pl9OqML=^Y=z{r`n?7wz zW?@FbLo>}Aw=?cKD2=x7H4O{+-T&+2^ZVUux2yM0i%h6yUQw{>6Pjmx@O#GgjNr=w zMV5DarB1>F)dW{nkJ+sjao^qO%qj>uKXrA^82z#aHoI0ZJoNrC$CHsK+}^29RL+vU z*A8lnD?A>TLT?bhS#w{vkIr!tp%p$M<5+)oH<7DGe>UDS+v~}|+w$jbnjOt?UAlxe zX7)~x+Zt)Od#e|qds-j77{>CLt%Ub9eaMc}r6x#O*9i9JcJjwN?v0e0hJH#^@W-in(4>W_fGSqtFn(0Tt8$=HAvKn@E#avvTBHs zYCV2QfhcqDCr@!>j!Kp`^yux+fc=yrHwl=BS1%2;|ylZD6c30PYJf0c9m*}(T5So`vm?={B4h-+I^pq`mt9gTp<;fDe{eZ#bw&6y~hX=Na{7)k(kOTJvIzyf^+K!>47DT>)88 zV*PMbvqJ9dvaPGoiG5^k!iGc@h%{)7(pO%U+1e`UciDxr z`i-!kbJCq}E$X`n#tC%-h9%>|BPv%ulqhQ2x&$sTpqa0oeY#7#!O{`|<(gNcy zQKQ#6v5c2~W8<ym7)v18dcHR zU!kpcQp4Wi&iEwkjs5Fv&!Icb%P8gb$21Fq=L@+`rX*t~R0$GIEmxdfOq8NUBlPs@ z5)GQ`PB`s~qrPP@T$d8n62VkEZmd`we|b0Ey@#EOPWQi5O4lXn?KTqB>?%8^_(*c? zm;f7VrPxYBmQ-hTI#=WoOWRO+F=lqv^$6-86{ADhvsPjR|DO!|%kMHr5`vivO5}MW zXZs~|Y;R(rk)FFO7XAF!Y7P{o8!T56K8Q=d;XhX^hTSX4J>tOeKHuD{*fTS7!zbg4 zK~`+?B8@#CPdJSC%Qu;h+aAC6%IE*)AO5|EN`jt#Vv6bf?wX?+zxbgy%ESJ95ISz3 z6wl`K)GFY)>#Y}~)EvFMeUu%ny#!DgsI<2A_7@x;DsAFu>*L@p0G^HCs`}qk(x$rV zrl#t|7<-5`+twSY|G=#yU^X=ju_FpXM}#;S6o*2{4JyxOxlEO;s%3f`qByunE|(2 zg*msYLa5r^5c(sL@5LQbE`9pWwf?33KHkXqOR8VPdR<_{+P63FGH3ejTa}sx&asWS z3-QF2T%wNGJp_3GkFX^~zkTO7tjH*L<6sn{oGndTLIT?`<5f*;cjt@to)eQ*ckN z^qtD06Q7~gto(_!4K^J#eKO(?tJWGP-dNL0ZoG>3{4Ja@r~%<0g^0hn;@?I*AwLm^ z5r2AxW>C6ZDu|ZO{=MJ`Fa0e!MUM(3FGHCFES(F|$Eb^{xS>oxt;KBkB|&7L`LV|z zW`b|Zz4F1U+dQHFC?iE!urwyNy@aoJo|j z?-)?U+=TiQb`3ycBD`{%EtGz(r7tf#xHMs|b8%^KIG$s9&T=Z*jBx0e%p1DP*}HE= z3c-Y8H1;PPdMRr3*XF(LleQG;!%w{tcep$F8Oz4J9neKQR+ zB~4g=Q?EX*@(!51~=K)+!KdJJFoU_{IDN5yRZHl-P47=?1YJ6DY3gRT9dU# z(J9Lx?ikn3+}6FB)c;;=;oWM6J0IdSvcB`FgQZIRv=!PBlgHxP{(eDM&|-l%<$|-R zpx4U{HTSP`?Sf~d8f0H$`vmkYz7%zA)Hq$1-_QQhIO4EG-O-tBYhvgJHb${O+Z$yKB6He9%7uu{C^UaJdzVS}IcJKSp(ec<|39Pa*lpx4*Jf){( z52x;;k*0p*w`VG>htle6)AG}Xk}otV_Bt*lYAm&QC(XB~Cr(O#G#fox?0>!3X}=3o zb!H+<rp<;XQyUVmJa3g3arGB-Q?pNeE)FN7vZLyHKl^~wscaiy-7r0Jftff<4{rW_V2^qPU zd(B-cv<075qogUyS{EUui81o)ethgxfS!BP z`epw(!-*Q7^(Nl~mHD3VvpGc%&sFXZXK3M^I#9^>qBv^*4iM1drNK?@v*^ zPS%#R&!F>#i5IwNFik7Oim0UQVP_}mOc53HEc5tT3%OYi7GbfY0X2v92R~abdRjRN zvdyxvS$XI@X?ehLW|!-frp%(WMJG@6`iOPoE5{||wEXwomT{%J&MW&@!lv=srZhH^ z3VbPf{PAVwW6HB(yP8~l6$yQIO}V9$&+dmvKtpt=Kg{(rpSCXMm(LP87a(;lZSJIM z$7jZr*}JbCE+2Os-AJC*y%9(sZ<8E!GUowp)#*T;lG=$|0}ZoZS+8n+T;@2fajLjg zPpz=AyaV@Rmb-81!F)v^3QBbi~bNM7IccMFlyzrHnFoL;TJ{hYMB4Y=#oJ! zyeC#`6R+@1VRYQJeEEeh@4Zz*d53!ATk2LF)yzk=3!)|3cL&{Wy`2~cz>mML&@@`& zo_4rCGCF5_{xm)+Ki(iW;}pwc^2wnB1QB1fs$pz$O0rgw78h#)iPwD+b?Y)VCeZXG`2e}>yjX3{#{;q)$7SDrvx6Vcw|V>h zNUtmIwWsvDrb^7%q6ElnnoNZx$&!6*q zuJKpHliwD9pdI7>gjl{vWUbq9vkRxr};k}zL%ON&l4>z+P*`670-Q@zd z;?t6h$Yt{zW%tpAj-TZj?Ze=7izGFvt_6+&Sl4?nsYueI5wGnkX_;0uI}f% zW!ayMa!CnXjSsoxM_25)8__mrMCCL10aBEXeM}|snjvTXk_rtyFVnu~yJu;V}S;ncIb zhbHt>KPW2j-OHgeS_?TG$Q2u`m_?JM=mF92_mlRBqbqp&F|~B9YLeP%YIwrxYW#+G z#T`Wk*`ybx^MpkzNZ-dP9md~0<;g0C*LNMZg2FgcL~Lgobaflpkr^xwDu}mS3Ig#E z+0>{XA*FK641$-ba0{NCpGhyKl z8x*2Y@+)Gv_<{Z$NBHiHu?E3uQ~fFFTOzp|yG+mCw-g{0C3YVA`6Fy{sym2!jGg(C z?5^h*sI>Rc}=nWRPR^GcQg|lQwP^%izc7z9=C5_Z={anV}H;t z_`C%xSDA7*mw!YYt0HGUEc$iU>^Jw={y_|;dPdy9OPpr(wy|9@~L?$YypoUuhe*AUD-^mgFzHkrhbokv#4GuR5H-( zaa5M3Yk0C`IU}ow#I%CLnahDEgtbglEli2Y@@$=D!xaxPYcuM_8VBO`36!a>bes${ zJyDa;Y0%F_m^_(Cf6mXRJXC0Cd?7F_s<*7m^?jMnZIjcVE=MPnU81hR+WJ{4R*VVk zS}-_&H8%OkwPR9&RS)oc&JEYn%=Bp(^Ebe;A5L-HiNZPN`oMNb#at#>CxJi z76V@0SMgzCkFJRaI8d|J1d5<&T6&hhb~oz0r;_5J%*7?y)fCocQggcalSSm<}Ac|X|3HNQcP~<-DUCmcY_CggyS7pMctF8d~5d^ z`KOKkpm}xYOsLeIkn(eMy^<3r?HSTA*FCl0G}O&%&RlD^&m^A$N&`~g>@;MY@l zFHq^O(c#(R-Gmi^!dH5NZ#_DA%#&A7Vi$Kw(I6YXOGLD$T*-Ii0WUs9`g5-2?cNAK z#SL2+dgiX*f8p${wR+K+^DjBMjxk$!$9*(2Y|b1jwNKTUi~~qG-=c~icnsWie8yIM z1M=>U^xXGYd1NW8Y1-SjkL5UCa4}2vy)4S{E_ps-SHFTKcvG*m^%iD^_~lj>7FL7^ zl$=EC$n?3L8F525$gC%u)+yIQnoN0`%m-S=J(I`^!xQI}5F zmX*NTFrC>siM7@mr=`{PI_pwKzMz?le@qRtd=`A}!PaI^6p&w064FT+ zyu5Z(dOpZCm7sFvsSs4<){?@RWx9g5wjBr8lP}#sm`AhSj#*CC2-5C(ZImK^_}LMo zJ>u7VS(0xPU5hlPn6#6o8lgj#7hn9A+-=c*KT_iRUYoQ0xAnvqgT9621=SN;GpA|P zEQVvPoY^{%yPJbb1;+DgyfVyw2B=r$S6kcdO+TULY^->afKNQ)*xm5MdEaBJhl|Aq z{g8SsEoa$&Wlh&(z0vJ_U*367if6h**>8(8L{u=E?Mwz+k<$xv3Uf+Ywd1UTzHmE?)I5V}fW>;oVdyiLV6PDO%8$IAh!@LEh-_YooG-(L zB6Pc#^T7*7V}v>D<6;*tp0gZGImt3sLbbQq_LJcn_gm%aumIU4&ieLGk3cwI546X78b(Eblp|Pn%O+8J-pKa{X*fx72CEvm2%( z12OqN2jzBsOMP7yWbkFU47XdYot=27Tvl*)kk_Y3X2Ih*A!>RC!9KxuyifcGyO!py zC`Ylc4E&Ea7)O7hS?P{B2hn$VV8^asoxHl-`fBAV6yDGIq1!*jZ@951smQ(Va$BY2 z4MY)-2TB|6_tXs_@cqyY`@-)vF?0U@ z0!^2b;hFd~bb*7A#FMi>;AVVn#`0MqVi&g~3Z*6yj-fOTNPYf+Dz8_^~E@0vRim6>Qr5#qR(`$IF)l=l!uH?zj ziUAkaQkQkER|-@*dg-;S+L}BK3gj8oFVn11ekxO>dz^F0j`?+fYI%U_JNBX$^=g5!xbY*{2Hvd!__T~ zzw8GzgMSN!v9W)a2_6i;8vj_depGv8fmINzDUQ^YS zKOjY%(Py;Vf2it}ysObj+T&{_o;cAvQb)pJeyL7H_aY_U*oU_Ci)UP-_9IhCEU%%26k^W{Gvxizi5maG`=0s-s|zgac}&^TnB-ij_<0=Jvmg2 zj@hX6GhA0jNBZ-F1qr!s`QGvFlO?*wk6*o%RGxqjZm13pgJNKtHt9Xunv*F8GXZ*(0BUoy=R_ysS@8{JUPF25RtkCY| zoc_)-iVnv|VYV0bF8L-@hHJY;q~dfOFwW113QuL!QjZ)H!3grFjR+(xp0^Yi3ga$1 zLR=IpB?R9|94~rtlaQHrBbq4aIvO2#NZO^7`A2a@&8}y3GbZ~dq}z0oR!Eyn;@^9bLO#L(~7D9PcMf+z70X9))hhyuF*B;lY zK4I|GZmrWBJ#grNE7RM3jFv7E>Cgi#2ZkQScr{J5>T~_B^=rO+4!XhfDn8ql+jwaF zt!2!hrT(amZ9g05$J2Rt6R{6ZsHhLV8TBvuIK>rx_FU_+!S3jE+*S9w^a#r@ax2dT zcnngy?DhrNe<-x|f#c=Vqg5UWU3u2fx|Z`+xCLDw`9(ekO3k6O4M!QO9CbD0LboJymmIX-jAKiqA@gZ^BAXxvM(rTYF zJ!Pl^uq<>mNmv$atlPLs|DCbI(L@Lq9LEj>KqP6msuNwJAR+vluu6vi9O`a$zjYdm z#St4F0ItfhXvkRr>#>cgfg*0gTuennSmJV+_7i^stW9ksZWD3}Dy`*c3$Et`H*AuQ zlEKB6+y4XHrOog7E$lV~xb%|r9kB(E_b=!O0!g~)O-zTZM{qsMRtx0zHFe1C@7u6m zi0%780URr--i{tIFk)8>L{yo)%dN=^ATV1@A~2Y(A+~mJ05NilX#fxTx68QU8~mFy zmLu%&_S@jIf`o7ySw{<`&#X!;9>%ZZP=cFs0b2uOUD0Dkt8Zb4=6yzZ;AAu zx@Z!Wya!q-j2M_4@pT4-U1|FQoqyWkK;a(_Z5*sUeC)i$Nesfbafd|z5&?fm42GDN z2|01l5zIo-NEfb5qHU>I)P_VRq|6-xLV#Hyw{3U34oRmN63>Lc9g(K737+(a z0!D}LAdqCZW1=JxZh?Dlb4(K8Hel!0KqUEXBXn*NNa6#SeSgJAD+R^{m$W|3fgGw@ z&w+IAVux>;v8a#rSr1tz9?2 zVu-o<5F-G1wU!Uj1m=q)sue(*MNx1V90kXs&wiQC~9GeDBR)_<5d7MR{a1#TStPl$z zlN^d5SS~mo9B@%|i~+Dx)mz0V5-22DYjEckk-iv${zlysDW`o*Uwz+J@f%~Aj!Mm{PA2Zs^+wn|A+jI1pdi6M&KC-Fq` zNo0i>1e)j%3aODWaI9Gc5hA|34-x0sgk>YEio&3XoTY$643Hj0N8op5qQh1xDXx&U zMc`q?YEmgs`Tr<|V~F%+Bo0YtLDm!w)O@Opq-HcJWRsP`NQxwtqHv^Nn0J<<@hCWP zWwT=v@-A5+4oqF+0R+#6Af+{Ag~a(vkV)k~fQWHyVvCX$W6&TRKG>24<*;ZZnuse0 z>l%f}k}?9a#wav~7+y}|8B5A@$Vy3IZ+)avES?m?$w~oMI#IL&f`h<_mtR6ycY|e) zCHeybCk(70is}H*j@VWKBnu-2L$baAAT^P*5`rbbkZ4kxv7;Dq-j=C# z1T2bD{a7?9$=vMYkNbyVv7|>v$Y{9DegW}sw=f(CH{|tja8hEpvn^06sXx-1_@^<7 zLJKh9^vQ(=!{JB}<76G;Ntypn8XiuH?_{)1!2Lh8%{2*w;ZdZ-J_0JEZ~h$f|(WEH`hprDbkFUjhGkty1Ohi53p zfP*+dY76H7SNw1|ib8~N@Ov2fjN!l+QOp>iGLnlL4lZ~gR|!za4oPpy9}dD0xwvph zJjGhTB2W|-i31r0x#~bTC*6C#Q-T13o%`l$h`28il4vnBXWBg+m0IBkiYlw#6bz$?Q%V2D?+mB>BSu zekz4%u;5a2vc@Fe@<&a7dfFWQ6@ zj{1ig3~uw_V8@JsWm6;!7+~4k>LmOXKL!J%h~aQNnsO%i&0XM*K|p>@x%xpqN-ll` zg50wM`6J+)w^{7$5RW14U3SnAa2y3QKuLd9^2b$!3+*XP76BpvxkVt5cr+SpF?S9E z4spm=H39{rh_(m}nsgepvn>Wj;pY)3%>QT$C+&eY+Y))|A$tfQN>g-(MN#Mtoas>H zF9@MJVNg_}lTahv8(GJ?PB0Az`OBj_KI;!!AyMTx*+DNG6P!z`k!sc;1vh5-e469}he{=}dE{SW#%wl_g{}48Wox z|8r1qijWODA{I76mLJ?`fQ6wquYzpB& zHGrT+G4TH|Q*d-csTv?O%Cf<8XQXzw2(Lq zMMi}BAC`t9z;P6&hQa{3lIa?_0t)|t0zRDFGEf-Gg$!WCDVz{Enb~w|B>DdpKMD;p z1oDB=Xwvx~>F=LSq*gm2t8-ueS@{kN5)+w?Y;OhVS z^*?rx!ejn~+W(lwgLqEPG@gK@FbxzQO%WH+;Ou}rG6D(iuxFBpz%)oF9* z_o1Ls#t#fQo!)9o_)q*8@M8^mwt@kHhJ1ElFHVtyV}M5@R|y6QHWTE`fD`T=5tAf; z(3rxhfV_}mwPHXFAdd?e5cDX-3iL@K1vKS;03@U^0!3r6xOQ+zVi1L+*bQSqj!M2* zF=+5U2l6R1bJjY-qZ-W_}c%H8}1ZXJ|GDXE|oRg_^M zk5Jn@n^A%z6je?uzA`vK*oWTF5?*FJt`i&Io?PKlbvw5ZuSOsw% LDk7q)rw08$c9l@<