From 03af0f048d551dd0950dca3c607ad539116b980d Mon Sep 17 00:00:00 2001 From: vlm Date: Tue, 14 Sep 2004 12:48:17 +0000 Subject: [PATCH] -fnative-integers -> -fnative-types git-svn-id: https://asn1c.svn.sourceforge.net/svnroot/asn1c/trunk@322 59561ff5-6e30-0410-9f3c-9617f08c8826 --- asn1c/asn1c.1 | 8 ++++---- asn1c/asn1c.c | 6 ++++-- doc/asn1c-usage.lyx | 10 +++++----- doc/asn1c-usage.pdf | Bin 66940 -> 69328 bytes 4 files changed, 13 insertions(+), 11 deletions(-) diff --git a/asn1c/asn1c.1 b/asn1c/asn1c.1 index f2c3677e..8d7cf061 100644 --- a/asn1c/asn1c.1 +++ b/asn1c/asn1c.1 @@ -27,7 +27,7 @@ and other encoding standards. .TP \fILanguage Options\fR .br -\fB\-fall-defs-global \-fbless-SIZE \-fnative-integers \-funnamed-unions \-ftypes88\fR +\fB\-fall-defs-global \-fbless-SIZE \-fnative-types \-funnamed-unions \-ftypes88\fR .TP \fIOutput Options\fR .br @@ -87,9 +87,9 @@ Allow SIZE() constraint for INTEGER, ENUMERATED, and other types for which this constraint is normally prohibited by the standard. This is a violation of an ASN.1 standard and compiler may fail to produce the meaningful code. .TP -.B \-fnative-integers -Use native machine's integer types whenever possible, -instead of the complex ASN.1 INTEGER and ENUMERATED types. +.B \-fnative-types +Use the native machine's data types (int, double) whenever possible, +instead of the compound ASN.1 INTEGER_t, ENUMERATED_t and REAL_t types. .TP .B \-funnamed-unions Enable unnamed unions in the definitions of target language's structures. diff --git a/asn1c/asn1c.c b/asn1c/asn1c.c index d84d8642..9dd5dde3 100644 --- a/asn1c/asn1c.c +++ b/asn1c/asn1c.c @@ -63,7 +63,9 @@ main(int ac, char **av) { ret = asn1f_make_known_external_type(known_type); assert(ret == 0 || errno == EEXIST); } else if(strcmp(optarg, "native-integers") == 0) { - asn1_compiler_flags |= A1C_USE_NATIVE_INTEGERS; + asn1_compiler_flags |= A1C_USE_NATIVE_TYPES; + } else if(strcmp(optarg, "native-types") == 0) { + asn1_compiler_flags |= A1C_USE_NATIVE_TYPES; } else if(strcmp(optarg, "unnamed-unions") == 0) { asn1_compiler_flags |= A1C_UNNAMED_UNIONS; } else if(strcmp(optarg, "types88") == 0) { @@ -289,7 +291,7 @@ usage(char *av0) { " -fall-defs-global Don't make the asn1_DEF_'s of structure members \"static\"\n" " -fbless-SIZE Allow SIZE() constraint for INTEGER etc (non-std.)\n" " -fknown-extern-type= Pretend this type is known\n" -" -fnative-integers Use int instead of INTEGER_t whenever possible\n" +" -fnative-types Use \"int\" instead of INTEGER_t whenever possible\n" " -funnamed-unions Enable unnamed unions in structures\n" " -ftypes88 Use only ASN.1:1988 embedded types\n" "\n" diff --git a/doc/asn1c-usage.lyx b/doc/asn1c-usage.lyx index ad3d1217..dd0dbfb2 100644 --- a/doc/asn1c-usage.lyx +++ b/doc/asn1c-usage.lyx @@ -55,7 +55,7 @@ status Open \layout Standard \backslash -extramarks{$Revision$ -- describes asn1c-0.9.3}{} +extramarks{$Revision$ -- describes asn1c-0.9.5}{} \end_inset @@ -1117,7 +1117,7 @@ collapsed false \emph on --fnative-integers +-fnative-types \emph default compiler option is used to produce basic C \emph on @@ -1648,7 +1648,7 @@ Allow SIZE() constraint for INTEGER, ENUMERATED, and other types for which \layout Standard --fnative-integers +-fnative-types \end_inset @@ -1658,8 +1658,8 @@ Allow SIZE() constraint for INTEGER, ENUMERATED, and other types for which \size small -Use native machine's integer types whenever possible, instead of the complex - ASN.1 INTEGER and ENUMERATED types. +Use the native machine's data types (int, double) whenever possible, instead + of the compound ASN.1 INTEGER_t, ENUMERATED_t and REAL_t types. \end_inset diff --git a/doc/asn1c-usage.pdf b/doc/asn1c-usage.pdf index 105671c0216367e43af31211b69903a3bb6a25b0..6a22d2257378abf3242a639766fdaf6316be9420 100644 GIT binary patch delta 38627 zcmZ5{V|*pfvTcl=yx6wwi9NAx+xCv_on&Gs6Wg{qF(gq zdR2c!L(SztCCULISW!aXeWMw#qc^-(wmTa`3n}0Lp;AFb7?BX6@ETW&<59Xw!8;^Jz^+%FN*J~ET9C5rCE-f^X_=Cnnv-a^WtwBgj`3^%UI z0bYRZf=^K-clT@B8C28M<`3Z5OaD z=~6QwbZ{+LE><=Y?jLL*2LwD22;3Z;1LVw33)D{(QXCXU9DaVsAi55By?l2Zk#ReL z+0@__Mk|(Tf+Oo+p|;QDs$2635EAm$U$PlQ3{K`>+smxJ}r*dk>(f3nQba*!!iZ>#31{3dHbfrGruFLz4)F z;UeQ*XmA*`7R@>cVS+9>!PGJkF=PvaP&IjIh~-Qq2VW~4 zew^$v9896Z3uYzJ?!$l6rL($mh;nDfSo90IeOVWHrniYJ9>xIz3zx$&NR{t)Veo!P z-hcFUyHUSeRpePc;7mU{3Uz{9(ZQ5<3@*q4!+fGe3UhU)nYS!XAvR&K=(D|>$G`#= z_v{F6FBr|MI*2<_I;PhoLv0trks|XD+Ys8- z4nMaKt`_`KixU98$9f(k+nj8RW0ynnz~`CQ_=}}o!avIRb{Tq`fp$Ef_AJTrGIZw5 zw|EZMj##&JQJJCfQzzG-Mm2KA~kr|6NjW&OmZQF=@Imkp28vq-;1L>k+*uSpOq9#sZFGPiNJ>$CKfKEPsGl^ng2PbY z77dqtS8KM5ps|d38;_)Q@_>kG(7wtuyPqsQA@Wt1TB9DvdC~;t3D$S6&+2I(+?jTU_Q~ulXyaZZq};K?&~J7ekO!X9`Z*GxLCuz zeq$V%P4qvh#3Kk;Hxdrz)0kowY`0FQ+r`a*0k}rv4K6mRaOfiDzTvam``4I_7_yu| zjWTp~X0SWH&XMGlmR@u;^ zf+z1c?Qn9Ty6V%Lop=tc&tM7Rlx5TKM6`juhxgyz6q9iEqdM>3~PmJoE_X>ZlC z>pb&mFq&E(rpmznVAJ*GBp@WwD#(v_BpCa-}VF_^a7s@WJQ2rMGW8V?jA zydLDv+#qa+kYEVw-xkhLq7AYd9)Z4ae_lx}%rq0p zGOjGo9$Du|OfxW&)!C*=n&Iu~+*3+7Y{SLCW^UkoX<0ks!~ETZ1cIm5ldN!C;GZbq zo%ZCIy;{`KlY2QT{YAG57W15HbQWZJU27@bVD)$?&H0dBXk(IL6S!y@8Ey1dE}iFV zD^(-XW*K5{Tfbf7<5zED?Z8gHIwXL+sogSSjaCe=ci3rk#z&O23`Pmh&=EiCzkydM zJT>Xt(9Xng!F2m^1pEO+0F-Dgfd4?IVw>_p5m z?;`ZWRByV00Bxi9GqB(Mfo24+CPzM>zVC%6{JYK zqtA)Erf4+TYfPErW6M*rX7 z$|J=GU82W;bN$yj0aG<(9rt+}%SE=c9K6tGk+Tb%3JSFc3sm&A2P zkr+C+6z0EsifNALy#m)jQqg4PiWuXQ2Me#w_)nr(Fb2%t7e9iggN+suM!;P+m6^xC z8b&^QL4Rj!BW8j!FWEPUp6#kxKKWlW#XUcR&NtEq-yntRfYsxNWbIiG5iOkZR+jUg zRoBjckOge-;>2$WFr^kf2}Wfs?q7nRsAAH89K5j%h@AWG#7n`TM)vIMe&0=VVOu(_ zH&^>GVk*U3ns*&ZwWn27QdMWk%#DQiCi_`K6-rIYnGHEba>-B9frg_g+@&k&xPy_- zAUi)R#={B$Km#0l84S*C@I*WwBm{rM(Mox5(?}JRBzX17;FSgjB@~@Fh;K8zwj2Yt zPnn?>x^p&0SK*CDNP25bI8OPBYN^8z`%YdujL4pI-Py?0GB1Tv!?$?*Tg@UB!9Nv_ zTqluw5eI9zk4Env+N75{$TfUwg~|NCgoMGhyu02l@wRZ!0F8+1oP9K}7EgNj3^XCKZXhKra$spSuNW3mgweXWfp96j z-<*Q%RNuN2-^ap}zDS#OUtvW;PLG;jr@g*R(fD)_n=MUcg4pcjoiwhB;4V3yY5FVn zgJV|+vn&)p(>;LfIl5L{y!S}Mcm8iREM0+X=$0-JWfdiZE{diSQ?U1^V`OsGloHwn zWdqnMKzY#J8Dew|^ngVMBpxc57<~)Vgr!zs52_rHR_+&y6;h{hc`k(6o%c8aNZO@& z+Iu-+aAovH*I3>nBCG|gQ9qA=cqC7i4pD*d8=b+!nFGkhe&-uXayPaC3?2D&1aWZq zItJ!D=O(O!7&eX8=3~ckgb+Q;?VDoI*h;kOkwUM;b?!}45zwiDP~}k)52OAVisXCS z0m+QEq?)!)*KPS6F`yI4@A+LEEkq*IS35U1E`dK4=U`|{GoRL0%xsmrf-_!@_zXzM za^KQ;y+-Yj56?(_MK2XafOaL=i~0G8Kki`B!LN-{$l!q7sOST0ZJKf$2|k>y`W8n_ zKhqiGBliy_IdKM{m$5GQGmJt%-LLPyFp=?Rh71t}sho*9R64Xm5ckNcudN5i2*UuQ zs!G0Q*BKUg&RllTo5(-taJCtolk#LbJ$C3(7$d$;1-%xb3R zWA=szSGr0V`#ppl5;wwIdWQj4X`l%YuX)mZFB~Nb3;QT=!(|I5tciwg=_%A_OJ@^5 zlwwY!tYJl|_a=c=)pB$dtIIer1H9f>5I>uT0I{{@v zw29ZmrnmvcWTmAP`j+ctaZD;S|43imL|ql#+jfl|Op@^y#a++u@#o@O5arF*)<%U} z7ZmB?PaR4gb&(xOwaMtWXBR_t!hX31r1R!F3R$ZZ7@$4$H?5eFu%9 z1RJm{hv89XV^L~Cd-xX{KiNLWm1bBp7H8HA5uO2u7@Yme;!;Jw6-?-GNa!>6@{O~1 zhJq@q)L|=RzEEN(MiEI7*SVpCx!v1?GAJpz^kW{PB$am_NW?Tll@JB{g!b*m??+a( z(g2?{O`5pIq$&j%RB&xe*VmWb6&7nIAEX)#S?+$|* zaTaw7>#@5Gd+|uH_-DouteKrT8C=X7Hz~9F0j7-E&6cg4wmFsN(N@$Y=|Xx6#HzILF3T*ikk;<#d57Qia)XCZw2V~kfv zbIy1tB!E?ye@$(DGHt22-$P7Uz@0VcdE1D-Ih_Y7Irtus-PbkS1w0K|c-vpO?fQP+ z+n=Vu>&A=OH%=Vxp3}jd_u;=_#fnXa!u0#S`xE!ld3(q|FN}1@0U8%a==+J|%WZ|2 za@*uXip_O1#x{#IFiZr>Qj!G%$BY_mBwY-wK%$OZd)MMyv*@QHB#otTvkn!@+GR^~m2=}DUsLTZnL$9Q}1cnKi5aKK3#!-!LeU?fLH)s$o$`3phoI649ggG`6f zg70e+VTzJQpWj74FsVpGh@WzoQ;rTtWXMyJZ**NVX^1@xI? z$vv{unqK_2ihT0T+$yPEUVlYYAZ_uqz}rM!H${Rm9qXWXufh!IyU|~fcf9Nuq@v9*`;jD4{_=c1ZS z&(RI1kLp}s26HmDP7NEMt1G)Wuu0=XP&6{{*xDGF8zY9jLQb4 zoQMWU`>-c7q^ZgSitELoUfCDPz(^pvD;qzaT*`4PO?;;+tt-)R4#|cgfkM-X;CJkY znnDK^BM(uw9v`hm3L;cC4HEmc#E~c`g0WiUGf!0arv@(d-^tYS=97-^fhrd6ka(U5 zO;m*%AerB{^T&=TzdwNxb1A5taoG`x-6gLFVwkp3xX(Kzj0~S$sgfR_7-#LJFB;32 zk?uV}ixr%$mWk46HBl>&K#k%qgrTT(8eJ>s45qek9{$&NWa{Z&Ih!87w!;q6Aba<+ z%};V$6j)|B@`oe~9a=H+rUjM72b%E-4)tXwpjbw5AkxBORtMovb=!!7ce@k&w;P|- zyUDd0mB=m^u!=!jBXF8JM_nv!v_K5<6@|cdyOt+4p5d+mj_E*+u;x}FIDx5BhI^j! zzIG3L_%4`Kx><9?aXQx;SL)_gFg0XdBxV=4y$MVSwV+0iHb+C2cNMX(l}yKrYH6A` z;L8@=1wPtQn`n|KWJEGmt+C;cWhI>@+2Sm!O}DNGrCqHv-l}TW_l>Nq!Ce$7gFE5V z={Wjd#U59;27@KK<%J#n)D3_Z3r~MooR|;_e4dZMKFL8a4Sy_XZAriZly<{rNz%Fc zi-Y1bA7L97e3}6}bFkmY;Mv5S%7VcF5JkpPAMQ_oD~$OFmKedIjrw)nN2;8PD}@ulQ7*5ElAy_g+=*6UKaHV4aS^Sd_0iVU z#EHwl%ZeuREyVN&dy1?1q6@u5*|X+2-?kx53*UaQt;cGq^aVy#geDBWXTf?u z^Y5IK2(=SD7&Y%-;i#&`a@C$2*5`TxpDa~c@!?fEGOz4Dq57wiDfM{XQ`~gdmw}Pi z^G<+(W}?KP2G&;lH?me!Sw5UE4(--o*j67p1NnD=g6`dR9QO4Wq*G~eB#4Xj-yu5# zf}QPOyvT%19ok@rmGrZ*i);a$6Wr`a_U#;NKdro#0Z}g+z*7dp+`#a*_?iz(Tz5Vh zK9MZphb|*rT^7WMSZkDr>xC~>%^YZu!bNWj-VGm0MjA?ncA9dMkWR@!ZH&deJJwPb zO$-O^Cr#}$6PL8;J@X$N>_nb~KlBnV2h*gqM4cpx!x{3*=i2tkY(!0o;kqY@^7O1f z(x;T%_UE>bZxA7v5-dGINtArh7bsFeA)r}GQef!W3&0ZsZ9O5HHk+)}NgNz$A%=lf zS*x~UEJR@#UX&M53Z|%q$^E9c0Wf)=+Wqq&`;5%koWWS(AtMHc^Mk|3!2}W5IZl&F zoc^j|-ZQuf0DuWrUr2IDT^5kHdKDrN@xp3dunHl11Xt-t3=*8;1YRTE>L0!OKFMgN z0}EyW#_NweU5$3l(TLJZ4PvQ85Ah9!)^kxs`$hv6LHdM&guy}BVvIciE%UWOE|$Lt z$o)sRsZ227Td*D< ze(nvaKjn<*aPM9S8d`KDRJ_@PYu}e0u){J>~r$hTu8faI=&JH*QW+qU} zlgvKFE_|#U<@>(kvffTi@?>NoAvi!z$p)5V^w`d2Z0|A@{S6nAaVLus`5JZIaiZuhOAghr4cNz(c1qqO<<#$km{q*uLsjrGE?Q&GPrj zHQbZt?`^%vt!=#>A!>xryv)tZKP8^xwkT|W%Z-GZthb(iw~{~qZPCTvwVeDx%!jMX z$#Qv_h3LT4Jk3#nf96{>-u(?uLzTJ#>ZbYk=)?z!&;~%Ta{h}ES8K>S?sK7ZA8WqQ zfY+1BG?+o3ke<7*V|03CmPHhv2Bn>7Rmd2NSfQ1}@ z<|CvKefkp(OXw9i)tM*w){F7ia7*%a-hp6LB<&z1k%4U;H360Zeve|9QLNPx$Gljw z=aUp+Q1!1&OUGKOj@oi<9_4lb{d$o$)c_yblf$ z4vWkvm=FVzthcf;Vf`lY<9e*=JhPx7BKHA*Az8ey7%vQ(%Q=bO7%5=s*(-_3NsY+x zx=QYpxtsl{=gtbF=v>Aq<`qQx;3Fc{zE-!&-X>oi&H)!>EzJ~pap&VRGS&e8l_+yT2y_a;Uz zShk;)4rNe3l!=eRzMkGHc7+lXg@Y{|;ssA}ID5=BE3wJ$Jf0E{IFXwwRCXuoB)tvS!R}*IFpbn$%0w4zXM^^QW zEIeY*&?pma8VXC+Guil|Wc}j0&!C0;9G6(vY-pm7{uqB{sf{gRORJJ_)U{Ll#N-1> z)9sBBoOh%td7;$ONXBG@Q4hvUjsQh#WV3F=xoK^nz6w`DRANr=WTmRLF%?KhO1Umd z3}W6Kcnpa&24h5H(#SuX+zd`{$`DPx=$f(gh>U@OE;Kj5u)LD?1$X-RHm$0^&kA03quEB{zZ0N; z9`^du^^Hrh%NVU}Riy{;`JdRXdjZzR>)r#>XNBY)Exv#D%6z?=|LFBs{4w4EsFIz2 z|GSwAC zT{{$I;ACPQ(G_3M@%8gZOACniPu%yneU4X024}?-aefiC1X#*w67}emsKy2tH)pUW zL|@}VThovp7(c~Ct@q|wO`cfa2kxmad`Kl?jksfJCWz8LXa~V1*d6#~r{;jq!TXCp z*SJmTuz35@SxgacMtrkb7-bUNWFW7$R@O-zHq^{_ipOQf1K=qQmSFEu2e zLe_r`8jKT-$f~N1t8YzA@YrUxZbYk~ZJ`?+u}S`6A;9;;x-{0Pbg5i$KluFQD`L2> zo%Lp&oL+&*<>@_oVaGC8miqv%^3hg{t6$qMjRqeRgN;psf9a5*S+YT(F+4_M{|1u~ z6-`UGN6iaeHOH8^jcXb^_JEFQPZ~6)mLJ}~JWqpyey zCu%#Y71+a2>6>e7FKufskxl$>4SDc+nRA68n{g++z5?E8(_t{*rdwOe(Jt5H*<8M; zj=8_V0&^YDKn`sGc~kG$0>C-{QH1G%d`?P90g8+mAFpu^Rs8kb1rL2J0zW`-ak! z5MoZ*1EsKjn;~H~?+C}|4s+|aR@|d^MwOAn_t#S*`#e_yQj;laDLOS~qeEn*+}}9k zZS)%DFW}<>bXp)fj{kL3Sppwa!*Tcj)Q|tN$2kQd*#9Me0K+upK^t6%-S3(wG>T+t z#p2pF3ez47R3M8|d}20`8C#P?EoTy?L}A00$J<{7l;V$OdqpZz6q3LQ*tbKU+ri4F zND52uT*-5N3a^>yu2{9C28PK(_ea*Awe#&v;|!@`)Ao<90I>+R7CH^D$e+?04Wh*g zpYFC1B{X|Az|5AGD;-`-zVA-%rkB%CKB_q!qF+v3G-JA+C+9GmKZF`$WiD zI2}GL&nRV#esVl)op8}zc~CU%(ziQL+Sg^@zPz$YzuIU3S64O!wCmn|D2$ive}`Bz zUP@K$86Yp`Fj*wUO#iT&e|j!as>i*!r4p1O)hc)K1o{H2Q)0X>rdz&-+Ba?FR-{y# zPJ29H#VU~)0BtGtONqN|J=|$eaSqqi{@0j7&cm$>EPYE^qvkbwb!0J}SSRyxKJ&mHuj%@3QUmlm$Zi*&4@}`VxI#`^ zl!Hueem40*W7c|w!!>ONtbXfqQ^ywGpH+`k<4N&bhJY}z6BreawpTIYw-F;kmEC{D zdw}&6H%a*@858nbk{Vb4xKZ-&ny6-3GoczzO5Xb4qNt7Z1 z&^?*W)EuyyHoysAu{OG`29x;fK9Esow)`DJ9asA47lOJ;OwO=&>(|`&;`rOA*+hzOl>n&= ziz$r`mztv~^HqVT0fMv-QgKzE^aJePIFl2z5PA<5VEKd}LGvbH1w;xEAQA4zytfys zHLtfmRulcW?BSxG+hu~GEpS_)B=;&->p65XS;EkV1zLrX%$Sw0mY=TQ$5fF`e-Ssr z%%6rxBBSXHn#q$o6c+SG(Kr7_uih7bt~4my@u>D+FA5#$GK6Lz^@ZSV=rGpcqp!VA-jR#MAln70PQalMX24PZt`C}eLy91L5<(h?c^lf zOoQ7Y=1I>%Hz8wJ(nmAUL*xYATI%Wu+~4QZ!T){oatj-uijGHP&WLi-#b=$ESZMV) z@%ec~)3}=y#+b(Nxq}F2q((Jto-(U;^*yqtnBIP>p8zA1RG@? zWSp-F2@v!3-JXi7CrLKsgIW&xF+k7%G5Zzn*T&(8q$@kiouE(drwx3$WE6Dl)(jQs zv@j{4P;Cf2`KS6;0#*nUe1l22goT5)`2G{ljrp4pLkn8<)(woRQcH2dK%@fb+ASh5 zaEsCbvJ{Zw3dz*M=M=p}0BNDuKQjVfIi5Rq)YI5zzKd#*D<|^-m=YfINS>N%z~|k|zZ;Cx`)r7}riN z+c74F%dKM=58+XjvnAwL%NsC69=2xL;!0*kUV54w zgpd~eAyRaQl;J=&>>JNs-fRc*c4yPGA#LHzjJn43U>@4(4cw^}C928U;L@Z;u8v1F zL$XeW!4<|JYB@cWR@`W1ck_iK68i4{g7GzHwLv1IKgs2m2h{WHbM&Jg_K3Qp}g&l6l zUW(Yv!goZ*O+L6}w#5O^C0t~g{pl}>zf)AvovgClqtEzuI;Hj5Y=S}UBVas5p_I+K zvKf$67Z%R#sb(fee@S^U4vTV0$LmO<9u}IpwGm$mvw&RFwPkd7pyt+ni&it{G~2a~ zU)EK?WF1=n9&;DD+NYkrqqNA@4Y068IWFiiGjQFeVhtpeE>i;b)q5Uh=}%#M6A7-Q z>#mAPo{hNVCsC945e9RLDAK2`+wkX>S&p8|KeF}W0suVYi$0W37Qv>eko zNiki?@BpSC@RE>k$YWqka(tN5=f_@1q1BXLtg3N@9&BLp$@O@nTHP4Tkk-k(wWgm7 zt_<$ZG=ud=e^X|b&$Z60xdb)cDT(lr1IQ1J!p4hE->s3GE%`hG24nwuTH~)n#7-Qt zeraf~3Dti{PM040ENL`bifML5u?F;b9S8L8698Ez^{8HA6P?x`6a^lSeB8-lX`5Oo zKkjc(Ha z3qQn@R5LsL3n$TkOt>yh@mzXwDn#A;2$+YAg5rHCqScMr5`3tmtHU zyMUu}?I}*SzP+Xj@C1aT7G;WYE6ZX;DMu5Tddd2Vqo0VNJeh;B)E`+dB9izBc{d210BGLpw*#9{;5SbCNFACz1jW8U&JDu`*n%*BZ6|O#Q+pi0^a|x zi_HcAs+N@bH=;xUBK>Iz{@-#){Z9rUvP#PeQnZ1bi}(zpWzTE)*O&9-WrB14vWl5@ zSHNa%>Xdj=>UmRSSp4H#eE@N{VEVpU#I(k5HW@A;f6t*<)L0Aw^7tcHs_0ot%eNrc zC%R)UQW|yb_9p{|qG9LNYEwSbiE>mVh~Z>hLc1XsN|A>4RLb(rXM?MI4 z7rS4J@A_(*w}yh?_7%B-$C5C6dhvFwj0*0T>?)o3xpOX`Dd`65rc0|_>UWiw2b*S*fXcC?Uxu`40^Tw_mY zaNB-L>am@E`P;&VMYPSwVLi=~4_JX$m0>rHz$3LkKHb00kC-2f?anUzu<7&`zokCD zrVl5UL2=-kYku$mTYk6Udn+TdOOIXAQC_zw)KXw)-@-mFrr&KO5$ zhu39AJEWf&Vrm=oW16Kd$v(g07i?J&EzY72Yng~s-DOfVju{ltDUr3$SQIP*8Nop5 z#m@?^60fo+4{;Zb3-t=WcHk+s@=}dxr3Xq0IRu9GfCaAx{I2run|aUOY+2Am~$_X=E_D_(Nt6%y$}&+iyF=PNX$ zNr0ZC-{-oNZzc=q0jVIkpYUThP8PbmuwmaSe1S@qyop}DN$e<>db>{ofF6;yN{|eP zAb)(ceO)}%9|`_wC;$M>EwC^P)DPGlKO-F>kP`EuORYXweH}Ok5+N8C_m#3e+f6X; z0GfLlY$S;?ik!JtZ{ZFWF8cCLhu8{{aWb~OGkKdTq z%`Ox?{@$y=!li}~*Q&slo>8q2w?oOs2+`@J&uOJ<8Sp4YS<~Klq zS@tK7u>afV0FI=L#;sm%%sHM=XxVR7_HV1vz=Nh_X$`*JaOokZ&Y^+ilXgoJqgHla zN*%DTaKL%Kw=3Wjvs?ZTzt29Sw_4&j_U|2cH@nM{dOr2zbKSJ(al~Lc&`0#7(K*m1 zBYx7BNeoep2fsE@HiQoK7jsAYLxKQKf&)~t!)G*(4%2Ph5B}_(Q&EagE`x2m?rGVA znDdpXyStL#A3Olpu&DdmM=gC*vr}foK!9F{7z|LeC4O{Qucz$;rZa->pYI5XOlIKk zr~zd!XFOZ4`5|$Ql&Jvq@YZ=ZmIXpb8D$3f5ldb(GIYwGE)rkb4+Mpk`Z0{ZX${S9 zyUry$#d?*82@MebxN54PT6s($QR=xv$(|lX*weYkqr>BQp&fpcJ@cY}0&u3k93z{q ztQ3>KlrwX2GVg#rdMAPX6E~qBU?vkII^1;lD~Om_p76_C*$4tO*gJ=yAinJI)ART` zb2o?xBn8`3drvF?C3iwnfDtT4rz(1Q)_~!3zNMnY1fHa5pf*65wn2ri1Ex4=uUb&D z7nwq;{tfXn(s%--q42*cvR9!Fl85EL`(dYbbR9Q%umWalSuBV(U`*nqlv~$^A*|0m zcu$IRhPEL12r;5dhD6QB$;ajIu8#?b&h}3Bhg!Z27d(|o`r({upQB%(tCk>wmiQa z&!g`BHl8j-w|$z7GG7l8>I`c!Zy-sxSG2=QhgdBN@Nx0J(-oV_WW-^I9L1$1H*5$* zY+k$&ql?M>9d^!Bdb}oe34KLm2Nbn@=3hWFIpPhn?sT!;24=BOM#iwnThCv6swZ0> z4syCiWVArqI*bE_8aeBwE!snVT6t#pWBxiPOF|SpQ1l8qWfi#Cb=DrpWjtyAO~f35 zy}D4oYSFi9icMviU>Z6I!M71WV+s@Pc#u1L@OYNMZM|;J^1Cap3q;dh%*v!XW(~l~;GqO>y@#*a8g# z&&qhN6&o=YE~Cf8Hdb2*T4Py!Io5JwIn?80@KH?*uCc z_-r&K2viZAeW*+NE#f$KAZY=skxv^rKDI@<0_r$Kq!9ZzD=uXnK+g6QRz|v2Xa9xz zRf;!4!#*ME2Oy3FQ1dnBynCk?Px_gZ zbkyKm|0CGTvP2gN0r(!uDy&rHfXR#>nu>8JCzfu9G%tURG(PG^w ztQKM}CRTrGL!hE55Vebi-!E!7Mwy`{g~ORMA~9xaUJbH3Rqdzn6D~HaVfn#n!7L z(^3m~xjbC#{u_BCcr>p4spsD1!F;vs$Y2ou(U)I@l*5}(z*sN+!{JY$WYSuIrr0K1 zU9=zvUnLmvZUJo-z~UdV?sq<=lBPE@4#=bGLKC;D#92ZnW+~GSL!MXTGdo8Lm8Wz+ z%9yZpn0Tyq~`hvZ6Wpfti?Hh&_yqeLjLpkQUV2kR>c z0|0+W6>G>(H0c~iE2S}2f)&xl!xt|qX#`+qLE#b_!i{y@t7;Nurwm(54Rfeyet^5C5a zm#sYtSlB>+XVn{Dq^w_L%AVUuPfak@uNVP<_;iijgBMoz<2>HM{>z}EvigFaFj)Q> zh{W@_b~#u+c*SNrJxSVmP`hN?Zp~rP#QY`#*5;UgT&dqiMPGhL%3YKcn6~sSghrBC zs1Vdco24L%u-m`;L*Ql1Z5j2Z=^&3N8tG;oII0k_0>c-8F6GiYJ-y3vcvQEfADjJz zqQmk#D6RI+bvlM;(=(+ssl?bex7mEqk&xByLtUIV|$UCTc-qAEma8Yk#w1~Y+6$>wSGbiIzS=2(sn4c`iCfLg+Z(SMjjU;Ng+ zy-oU_KCwG#;S%LLKqG4*$D~dP18+=}nN&vS}ZCSNt-LCw?AsG%b!0LXcm_~8| zL<#UC*2FLtx`Ev2}g!Qij1`_=$_j}Q#1S61YKokm>ui&_hNiQ9;?uu(X zOYGU0`#Z8x*(Pk5y^+jtyxFGr75?+a+51and2?s}&_x2EeVPnXk3%}reC})$znc1C zN6M~|Wo9MtBMQ7NF|8gz3$JPN3wJjg*UKGZ<1nDRKso}SA_5L@L+F$*m5QtjyaH%z z@!UkcRjzJ_KQFExT6c^#ae9>50pb`oM-G|vDzBFOKbKc_6N-N6pg*YB1OBqDrR zj638_H1R*(W}8P#J)Xi9pbql@rRpkT6hD!vwNrMEdnlDnp>$V`PAzG6bO+x=;B0>N z{tBsnHk)#>LA9Hbb`UZq2C@>r~=*Yo-Jgfkgw`uey| zIUJJUiJ+1x!jh38>=I{;hAd$xj9d){+1v%~eZ^ZOuJyR`Fzaxb_A%`kNDS0B$ezZP zda-%6DKip>8~LbI5l!!xpcI#v#=&pRGV4}O;7)PgfwgPOfU_`*V;kMB8RH&bQo7>ZzD-PaClUdsftQacv#R-bv3li&4nkfV#qmQqCrX5)8AGXpA#|##ntu&at+WWWG z4lGG(ZFM5Y|8ZRrzgTA0~hsybrAJs;B)4{auGZZ9{OUfZV$412Vf6 zI!4lB%?y7;eWZdXKq|}*;m_H-WFC#Y6F8xxe7brFB{}F0W!-s6IFUJ~epR#>%A_-;Sze!sv`;9b&N+wA zK1)JQvG@adTZgoNPxy8J^L`VJ^=?N;aX#noqhcL6E_lZ$w|v_>7+SDe&39m7!k2_( z>R*ILdj=gh zV@(Oi+ZRopPB5OyC-{@k*jdAho?^Z)OWf!?Izpg%MMmHTfyASEnDkbmIpb{WSOq|g zh+6>2>p8@~(xkri?e8ye<#kjhrURQvg=0UrX#C)HB!vJ3&aS>i?x(6B0TxW?M3cGa zv8#QB0_yf0moO4miJiN8*3IwHy~JD*Yhs7*I5|ZhOjwM``K&WkD_z^+3CX;x6<|;u zvX=U|LiucQ-`dWI5Rg1nZ;&_hcoD4}d@0V@q*Q1ZQg#m0tB{`hdxN!zLlUXj4I(}c zzW^yX4a6%zaIwbsqG0q9{61;Kq}yyuh38)&b`S% zI|QD*An0!wmktHbUe2t9dUXXll}&PdtTC#tTWoiK8laV&(?s!;?mz0^W)*eyZL}F5 z@YQaAbSU@SKmFEp?D^Zq_K?#_T$Ax~^@*>@@;V57Jdbm7sQr8UFud{0VtSfy{qz2H zd1GUx*1)e7Rg}lGcDrZo!})o?5kDih7!ceSqu%i(O+@P@kyr&iR!XL~x6WA;>y0nl z;~aJ!a=>Zuxgzd6iO-!_zHrTn(oZ9B_te3AmUh}j%b~jAL5}wONA~4p{Ak4# zJ2o^>2lfw$6^=wWP&gUPe{E6LU9VFGh6pE9V>`uuB}72wkVBWKv7#f`_G8T34#vX$ z-J%Ro`W`;oFjEPBSlr!AsY4)kJl7Q7xT0O4TMB&k*FK#gGD-;coq{OBnLzH*q;qoM zA{$awRwYisFu)6^;B|!f*|XrCx6~9y#3I0MCRvg3tjUcmIO}ZC zoS#|6{CCqwB8;i0hkJ{51$?J zlV}?04~!d0n9+#Q150i)>SUA1{=qfy!pW>^;QzAs&Oy2cO`d2QZ+En9+qP}nwyn2q z+dAE+-KTBawr%q?&z_mtZ|=^w_m6vT%G3V)^0c>&P&dj7Si20fQl@-iF%iM2#iE%=60!-^b6> zUtYl<^b=l)BusL^SJ6L@#|^YRF^Jh0(XR&gaRPSehWe4zX%n zBb0Ko=UDsW7^d7NlJ>gs502kGVWlC{d}bdv1B z;B2+(7n>a>bK8%kFj9J3rsr}7L8@zJe4-J%Zp$B0fYW)R`rciE`}B}vWcxG^YG}Fp z7y@w^!uD!%8+3jYske|x&m$;Wvah8*m~Rtydy}Q}SS4qK)X1Q=S&<|;kz<%Fcl%>r zKUaDx0H_Jrh~BE|Je=N0C#kkZeA*ZkiFJ4_rGS(0Q4vdYRl>=DKLtSnELbuyUow?w zW0c)%z>JoaCJRrCCzAEH%fCSt8!w}H0I-Nu-t=xP|`~!ks{nfkar`!5R z1R0+Q_Q)TT=*XkXOYbc=Vr8!8FxnycU|Vv7oC;}j;L-WY3=lY|6n&+YUN&I-*+p2V zjVj35dN?YlH}xc~Uhu@B0PMX zPKsJrXHi*ii-gD}kO{t@)r3#;lUkWb*-F5(_3$bjI#UsdVE)}-vt4ZWAsdI=59vWH zHmnuV7SkRoqx21*c@foj)Js&B?iwY(FMZZ~@;1!(!)S;ori`BME*b?$FoN&RD$q@1 z$}Ui1viV8EJZxNj@UOdawdv zpm^qZp_WDX?T7Pf{ZV98yq@oGyysAlE*j%%S-a;w)=w-47%kP6+nXy`2-c>0;|TRD zoA61OFdB0*KK;?Be;qyjW`00)%6i753mg5<3+eXX{$F2>nlf@nOB04+ zX#M931*_+@?7xV2nyl!ZFx|~o#;}b&q zd}Uf7TtC&k>~ZJ%@OO#BA6m|h)l+}&jJD8Ry05W3|>4A+ilEhL8B#xy*QlzYwvEShq@&B9u|7`QoN0EzXUJ9Zy$SnODJvSV_Yc&dZhk*Uvu%R0I!NLO@ePynhp)fGZn z)K{gTJMX`e70rr(@aD5$8KXQMLlkoY3j|9=dBxZX2X_aV@W#>`8h5&2|1k3@IMtQo z$Km^f2+iN{T>uxRA(9V-(`cjc)M;TN(7**qa7sBcCDVOOH)Z5 zfL}0>6}v)eMiGYImxzc@d^A;+qrx0l*Cx>9{xr%iHi3gM^S&gi!v|c!vot&00B-O{ zJs^>ctgrlc2HCAA`}yJwJxR&p3&u8E6GzVHqVO=ih{8K1r?7x00B(W_D?IX@9H_tM z5F!B)x(tmOx3n9^zGQ?XFa2o|$d_cELAgzxK*jj*&H|+3!rO6_!uFF;6>lg6b7OYe zJt}tUJ+6|bV%VEt?udL6Z;u0|d}pC!>?jdN#H4IPsv< zJH3a9(t%(A>wGb)9O2L+W(%RoI(6!ap~~BWgx~r4d;!*6yA+8RgkKa6`eZa}$ zy1Xu4IR?`1FVI+tWwjKmM2hI@A26J*(T0Q3972$sDXH$2U<|=pT8`AsQit-oB{Q(W z6?nWdtvhIkz09dPBB+SVGDS$^(wryyWZC;_ZZSdI3gwK9Uo%k83iCKVoE9Ra5eh?p z|6#(1jo|Y%Mr-|@f3EyXE$$XOR@(#DXvL zKmR!{(pLN`3HX(F;Pp-;1V`u%D0fS5W8k-ud*0gtT!)0OWSKJD-MqaAzArUTf0-)g zc1lkfi3)^eHrx{Yw)m`|HO<|_h(SY9DtQp3-S!WgN$4{5H4-S^k!kwOBidHDqMKh) za09-_t8q9p+H>(J%rPEOWcW=x>BG&2Zh*gFhSR{p$69B5_UShMhd_&E(t!1qr`6)!H2$*T%KR! zOWn3$a|A_oippDsz<#Tm+iGI9y74mLKZ4wiLc0@5o>N~0%{p3d4YRm~V2?s6^Z~2* zlIf|DD~TX}?Mt_)54;x28NtaN$X(2nV7adpiyF2npY}!73oDuG4C}2<8#64lPWN2*q+jGZ*d99%-EQzLtNkQtP9WLc&qpy9%G;K14@2kU={pf^#rDzmT9Yo~{EBxj_k_ zPL2vN7EH-LCc>e|TV<@AGzq zlD?S(1~jJUCfxC`1CAx8GfwA(BEt>GA14t`C(TQ$m)xq$-dd5__P4i-_tE8z1isUo zGFhmdfF2L@5J8}RfFAYf;xRqq?siuOJE4R1E8}EMfq;LP&#Omis>@BSL#^hYu8&Di z!cV&r8W=E6*haX7vwLto=XwOSi=PH=^)ILfFs`1y;1QKxg_7h7288kA*8k#bc$N{L zF|B8&H|5z$;o?=z`ZkL_uvc0HH;anzH)0e-s3kOm|{j(Azh2RX_9TtZ4VVg z{+-1%cLyRp;%K^|z?lctlc+M_e^2u38s`V%We2s~au%Qv4GFz(dVrIG4@-ZZn;J&Z z)5T?YR}a|gVqi(_rS+D-=%^WRWEYf}G1Rb1HB09#vj_;qV}Za_heyxzGmjJY|NgLY zDa5pXKC;+w^d9Y3RZfQwLOvSASL_QG-XORq>h$uCksu&&&SH>RVE7>{I+nnBDAw9b za@x~aBYhL(3L?ZE4n2iFX}P3QU*UGe4|f2Qv8rAc z1ps0(;etG&!vP{~YHsoSeX$yL_~-;V>=eYeTP=S@lbnjTer*vZxZ9GU@TDMuti^m4 zH63?Q9<>U13iDFJ%Ufe}>;y9Rc^rGY^toDYh|k?yrZ9|}qsKdAmt6YR5<2JqKBzci z^I+{u`nW|p%@0oXR%$w#sEFcg zrWkQ-Y1n#X#G2leMp6TY{&xENV5#^HWe%FibOy9gIJWGU@O@)U*PV6tGrKZ0#jRw< ze8_AJGt<21QY7$XXI926?~CpHi9ldvBW?h36;mN3D70x?`d$2RpPK-=_ybS$G>i&D z2xB_3dH+1tqce`qPgD+=t(Jp7z*1;oj{a^_pD?U4n~MffGZx` zN_NywZ$t@qNdgUG&nV$nKom3>28cMq_cCYG@!o1u92t z5gHv?GhSeeNo&S@=BV_(2?VELC%oT{CvW*hbVox_R}#76&rp&zzZx~W@?aQmL@-gG ze$f8+_B84Ni7|#O7^%XR@r;>0#7i8I%K@{LOPJ*5rJwsl?t5JwD0cHR2pebsG*5U= zsA}BU)5~FZBuW!k7(T-3jxgYR9fED_5aYoROKgZ16btw=%=?<^@L;jd z7Kh?@TV4zTfIpbd_($LfZB`Rkz?Fp2Rxa7bL0vk9kFM+2MS;yJ^&jIM?uE2ltw{*2Ypc zjmlF`WtHWrjB#vPvML+yy)aA;&UwJ#CuEAkM)-E0r~mM@^<#O_pGf}Mw|v1LeoPht zKQ?8yd!Yf0f?K=6!db6Y~ApT2AAm#ee6 z#a(+vF?HrHcN6IOisXmp#2t{#MZBP60QL@tBH8jo&?{hgSu@b($cV7aA@QdxYk^wj z@4%xQMeR5fPGt=|D`dx+rH3My6tc|rJ|M$MP<`*0i>q(cw%UL-AX%OS|tq{7gS{{@+Biu9BRN=q9084&G#S@1 zy83+g$2d@WsqNMbJC*N+&1cRE6I0`Bzki{zT=~%Z5EwJE^Ej7%^{F6gi5s%3G`&9 zf3igXt_>Dk$Ol&UB8A0e+c!rkyi`g3vOL~KM5@ty zA`8|fb&^SFH#Q4{rucc&6gix!=h89i$<*R$fRtg>vo?3rT31VgcB7_bm*v|xhe`~) zF{1s!gsUmX^<6S+jPy#(I_>!voFe9HfmlSpG`ULTtST|?G=%Z4Eqa@Yw&=7RQbwB1 z(^k>P8f!K|3^I{|TDTx>p=~{-Gz1M-A}JI)hoB=H_fU#E(OjmAX|{KT<$zZ|qTeru zTZ1o9X}wkI6lKr5Fn7KJji z^|?O+4_=hA^@{;KJ8N1_t}&0ZePsA-nwN*4F)#XP3kSZ3M*>FC;h#h-TdZ<8^} z>$@?A3=Sw9J{d>C1elgZ-uLg<#}UKNqgjNdMHvvRg3}_m35vHny+uEUH*HZEET@1U zPXb0wzF!}XggajVpAkMSQ#z_ewCj(VAj0D#)Gab$JTcaSFyk|Q&Pxx{9NoXLpV(Ma z*nSygv#@!6J4ilylv^1OoF|`k`{D3-i58>R`d{Lb#Z6Tn6P}G!&uz(F^KNq*Z9jKt zQTg#Aqv+l1+-J9x0Nr-jV0X^m3iGFeGP@`0XNS$A82A&F`U7<@DPx^Wj*Xm z0)9PA|07i7=-O-XG5cuN7;CwC_jXoMOel>WPSKU!G|ZEh?K&cN!DE{vd-7*vLf%Ta ztpZ9ariukk?8bxPhlmb(`{t?(J+*2bp*nc07T|PJnTjhY!^#fZ5rWwo;t*k_2Q|kP zg*Ju4PkI?FGf;d@R~2A6vH|>Nl^RSQYF5%Qfm+pziASm@qe>YXn1HXAJp#nwKW5Tv zPodCZdqHg4q=yoC#hKm#B*VM!_M#0&FoKJx;{_AzP?{#7o{K<5e|-gqvhahwgP|&3 zF@Kk!h)FF~s&$gW+J>Kf!TL>Hl;%kz*F73DN^L|s)EpV)Jc%>kQ2_zot4wz-T^&8z z0T7&B?KO~kTIR2*T{y0~wlyKGxCN{Brihh2+P3Q)S`p=Shx=`NwT1efsNqbywb!sJ zGUcZpKJnqM5Xj-&nd9IZiCHo2^?6X{268l<1#j4~suzB#TNBpWuX!UzN*syAenRrl z9zU^_rRl3HWuMtlE`d>%T}ASnm;S$M4x!p3RU$%Q2iS9Pe~YY{)med2oZ;-rHpG#KE8Nl*cWUV5KB5y{RLPXP#z5luRUkgRB|+`pW*82ECxI(| zv(EhBqFOHjivT&L?=Rez)rD?&Jb-v&j`Ur&Vdf)YL?g&mga>L+n83TU$43H^gv&*R zt`o652HH@Vi0Lus_SV)XMO_fMe`lp9Vq|_|tn=OVw#RM{X z0s_&v(>qYz-M`s1ORJoEBhKjwHd#|*xZ)ZM!ZWDDu|sz4!~Ee=-FV_ZL)=%rBi*(r z9h~HFi9ZZTwSWz|Cde~M$Hd%PAg#ONR_gkFd2X)=!gu7}8r*Uys0Y$`JJw(Q6eO*}RHO((WlgxV zp=CJz1!wEV`bDxKWAMjx-0;Vtkm4wtgi}E|!IF)5+N3RS2 zCG0oqj%_FH96~nJj`rK{P@f6E#)>hHiaXI$-xSY_rVU!7R%xlSA_|8Yzxd)KcE@ZD z)aFr;fU|tweb$&1sNH-)W)4Nmtetf-O{BUtx@pQUs(Fr}r=>p?`@F)npDcieQ2zH= zs>nk28Zl6jMH7AkSL0%4g1B!^s>kK@qMIS*SG=^<=20W>a;?Q%4Tt1CAj;I$h4F6^ zLLAH7{v_h5pQNRrA6Gv2S3ouEF2R&Tf4zUl8}usRHd+M$K!M5RJqzb9jbFntpL2#a zhh%p<-G98%A$KBryM6zxF6)d2wZ83#2dx(Dd_?yXIt1uY-@crXGWPztlknOJXzKj) z?RR;7t$5o5>{gNS&haGN`4zDJ`TJToub>GqIH&l-4_nLMZ3=H+?zVY`L=cfZFxA=U zlkpxD^}SuEHVydj$R&V0lb~gLg{b0ZDmdmc`O;xnGf4H6@090Z*2Z}<8<;$V`x36S z;Va5X-p6L%$&SzJpu5Q0VGS+8@3BneTWMh>ZlwD+Kp?NNoo8&j;dvjfCoR4&FJo7Jaw$T=Zt==59@Ro&*jd;XvQCS-Hi-jM%OYtDxpqP zBO8itB&~AQk<>PBc)k!Qsb1oZ=1Yz%K@f4>>n!)*=MNY%qAf0~ZH@gNtBBh)a{Q`G zN5Yr6F>vHjgg+ObeFb9NN0mo0Mm#T)FlWk7Z$N;h_z{O(clPS(Z{F#7Z)gBvYz4n` z46oPlUN^ybi!ifT61%0bk62M0?jluBwm=s#DwnPWmE@Q{)w6|Yy^_Vq#Y7}TbaJ$a zg1fal2A>v2uNw5gRt(X)%P9-#M>)uxw;|vIv9$_5f(eLc+~OAi!8*K>3ojpuj7B_f zj}b^o9N1GHCraFnO$JH3`#aUEi1&6~LIzV>u)56yzRT(;5#@9T^Y}DBLqqih13QD= z#Fv~|IwwCDQyP0IiJt(0+Uwq^ig4bUt@^8tGmrS#PbO{)gQ|FC7!@3b)FqM@5GulT zH3B|~d(>1TOt+$LI)k9t)$^WE4$8cvzyXwBD#D#KzMA5s4YdLuORN;GY~Ur18N*J1 z;jZg8ZM00G9Wy`&FB)WMM-`A3P?0Y#As$*`lQ6&K-pTL_#}7?DM~!Ce6?IJ=Hj=gR zXBLmv(s^eNd(>_zLPO2nKab|07Mm$btimolYr)S=W7 zB5l`X99H+Y#R41o(wR1|S5KB@>p%l-y_k8Fv=qBIi0BINHAYK85VZqQb&Q1u@LFr} zStnnyM-SchwBjf%21d}gEI+y@eM?IaA(kA7`YrHwO8PV_Q%#)O1Xum_r7qP$9(DgA zJykX{-8>=<8BY#?5Y_I1AE+@;Rg3WuP@lQsUPGTU&zL?{W>#bOTgtpgOXj` zh=FpEAINIUI}s<*?CVbTFFHa?b%%4Cs(Q2u(RSI~2(ebC+Qkl=CSttR&1)V_z|q|( z{Sl19q2zjxbcm;^WU?I{6*1NAF12(?sW5GnO@5W1XEZlN8&&ec44O;Dfc=!8g}E$3 z>&oKuMxIW*Wq0P$71h+`PC%n<5syt~6o`5zdvCTamei)$b>&ie`B<88O!@c=;J(B} zPR;pbZAYsp^}W;;D9&v~ER*Ishg2pQx#I7mnLq-0N;x|Q5{#{(BN$GJlky95UXIn& zt$6%Sjk1&<)I=oM<1mJR&%D`Y9?{znWW83R4t8XimX!IuDcLHBF`(q4GRs|X9QdBn z6G_ij&O>9aSp?$f>q2?8`p_YQ2s}B!boV7nv&AXM234#b)MOG)w_1%Z0x5hEu}}J@ z2dSSWLB}{ASV`#NIvM-({CuIM&R843zgSjY6<$VL8ltzD=TlGHuAmdG_#lA18i@k=+1$hulR)wCm&DiGrqO~7J7V(O^{0zFEQyT4;^^Bq<&2` zMdeF1ibnNf#XDu0W%lQNIDp$;#J@!+8MLNYfS(+NAQvplrax3*XT@u!?zyxB)wslr zEU;ES=#7^XdezS2r$vM5N3ao~>!21QHw#hjL5sT}_iAQ66bM1SW&0eRvt=cU%9g<4 z;nj+5JNlJ!!nSgT#t1Dxel%sBUJroj#j1QiWsN8DZ)Ox z8*OqA^6waK#6v%Wv1h z9WVpBQHCZ9gj7>U936Ic{MpE%DxrB*P>_)od6x{ofoqQR8P>+-WQ#D#J6Ja3Y&>?4 zvzxdw90L!AadThvU`*yX^fRn+Oe;xiULELUGqH+fn@XbYFR?HNUP5#Z9B_~}b!v-_ zGUz8gfTxCPOhb&`PaL||7^AmClD&r&kE6E+gL0_ZgGuV_WnD5saCn?>QX8S+_p9LqWe*sW>uQ6gM);f6e$abqiG>QwD4!nj&T9P0W9Nyd2 zzc25bd~Y6%R&6H6&4krsLXS_aQX2FGd^GwGDb^KmqHEp#9cGqdTzH`T+_K^(4VtI zpK;A$V0C*MHTY{fd+-|q3Li^sK1~SS$n&L|!Z%lBQVtJ(u)*)5YEJJHE(H)woZwQgeJOKDuoL3_jKJOb9s--iNEH!O`hT2{DVr|_{c zAc{EXU`QwcEo)z}?bOfgO_t;K>C=)5%{1v` z8!vD-#M{Gv@WWOkfN(`qI7RoUnmharz7UNCgc|-qwqKO-eh;sAK<>;Gy|#r8vTx&A zfN5(F!|*5c&h@uJP!#5nj@^_2hnv4DGJiA@17mGdJ>Q**hnbTyF26VR>NfjaTN_Jp z?f`(NiV}?QXRUgT&bq0Vb9<kaIz=U3mGbCb$|2n_( z{nzDV$HB&#E7xdw*OstJBU)NvL1HlralMhyfJ;FNXd8L!X2Y17A)6Pibe{cYwXU|h zl^}sug<{XF?NhygA|b9!iKJ5hvFN-bO{9&@;DWOgr3t(=(}Wrw7&R+_=!U1jTT()P z1Y^imR0zmjA+6Fy0s>14QY=0&v@zFp7rQ#uXW*jPqqTI2q(8rSW}=7|>5SdEd<(K= z3sM6%mxzzp@s{1rO!;6d&tSqn0|v|?+F@^rMpd73b6Za=o}uRlt|;f2%YXrl^-m48 z7_Z{#Lp}j2p`SdVMcbe*k%YYH5ZMY!+z=}ZWAk(KI%a~876W7`y=W(xYxpM9%IF}kAizs?oq{5@;gwyC<@z)Ki zc0>_N5yd<>!xA_K&JZQ94?>-o+&tW}N)U}LkNh49K`YfxFdGPDC#W^DMp`%4?#~z< z@LogXVPIZHp&!i#i$^@#klLuM9`np3I@R)8qpJK2f{`}>1I=&j@~7DYQvrn!vnwPo z#Zi%UosXrHD!%lS`^^>@amwX;KpUZwA@ReKF{5YuC-%g0{g}$J1txqF($=G7VP!|f zwxHT3(o&BRk{LIUie@k&p6CaK8SlO}VRJVwf$~{xF#hJr=ujUEH}2lKIc6elpsWKUv3)*RU8ST#mSF%h}*&LG1uUO#H4dPB8 ztTX#Piz`0<0=!34b}HnpS^P)r1RV=q3q@#l*1?v}`tlXnlHhw}(tMUxQmKd~fD`@=-aabm}nbnI$I@jQT#I@ChKl0Ra#zhDB_`N(hU>&RSdT zSKN4ms|>G-Nzd093Gl-UuvB40P|gg-m+huH_ygb#0OJi=NdbKn9K3N}ItRRS9Y`pF zbE8_J{y_w8Y)C3mWMYw0+rH zwIrb=a5*I>W>9`V74JwGpR-MmMNpd?OgB9>j*K%$SmktZg# zo^F|C!f@40+y0_*+kMzsQ890Mq z?nls`E)5rURgYuN+&g_J!d6AQQ%JJbhW2$=dBkCHM14ieuo5H`P?*Dki<@)tdOL7X zMO+7vd-XZUUW@-xm%j{O-L_FH_!`3dbo-hT9hB423V8}2bQ9oV(=eyoXwQH6rWTfJVpiwM>jKb3q}(ca}RSydus=G*MH=t z9HcS}{e$`cN*jsOhC$K){cmx17sr1vDR3EfO#fj1pVE|w3~iGCsj#-0wYiJAtM$Lj z-U!GXhXMXi<^ECI|2-6F@n0(dn7KuYK&}nqKh?HTuLvFV|Ma#2{vGrG)Gq&9dDVZJ zbpOc$G`bg8gF-|9=IZ#(W!p$qLJbZ%S5EXlb0XW16fk|?) zj%bmmQHySnEfK*?lU2bzhtGR?%X=v}{d5nota<(j*!}3beeIg{*-?bMIp_eF4fp%& z1;K@2sURc4cOq+`GD=Jg5i0?$MT!D6a6y5jOMu_eQi_1^ zVS%xT3O9hl$3cy${ROf^epR?(xrit8ZzF=q_4b>2+`Xz%jlm;FgSly#UjV^GlFT9% z4nfT35X0SqL$`&B(*J~46OKFE;Y>$iHHq|^EbT4~5z)jw&Vo@+{k` zSu(HC@Nx1WBq`EIeW9(ASlHltY(k|e{Z1h8m;ec!IpXv?7@{XKz+FgYU0Tr15QtPH zLTzAGeImr$bVhHYK1edeeCVQq@b~wRl@%o}&xj&s93+N;6)H5SK47L%@ptz^o`QLu zu&-_(R+vbZNvwu4O3paMdG+!px!b+(l_a_kLhwEj?8_Ok7)t`;n1ft-YzyjB9_A`) z^NXX5XUCH+uu5)=uuRPQcpCw}JLx?HPW|(_0rdb?cQAcPG+BoP*b|o%ZC-N9r}+&XQ(yl0m6Sh0m#}aNxu~Bq%)ry)z(`)uC%(Zi z%Gi23oRdi~bZ>prb@;p)yXWScp zI|PfL2c0@&oJQeoSoSrM%WpdkM1EOx_08K~2H9%8-S0JS%_>u(&U{6aVKe$RE{+B< zNZD|V5kl*d0VvMFNYK2|D-{cEqy zZFl~!RTEV&tF`07uvUKGwS4(1!Fgqb^<6P0^^3j)Fl- zZH#Aqyiz7KTtTn9dQ?)a^{V3XL?V+>ldE^|i2t z79kKXxsTGe?}{~FWyb$0UD?iVt5v*N!Vwp0tJ&J#e`n)?{h3KCooj_)R$ArZF}ex@~g|S@R~V1^2C-PX2Om- zflfHhKSwBJX=N=$DMszMNP-)*gxMJ>)XkenMVscV(ido>*s@f%Qg|EDPJ&7Eg$S@2 zc}<%B+H54)l(&65Bs~BRbfZs00;DT-ox=GtT1Pje)3`gYQH~V$9s7sK&$b03W!;&L z@jo--wLDS+jEMcAgf-}eLvN_+@Gi%2#oVU!F%Em8sZHI=4UbB&SJpZfB zdanV8yPa=IxyCu+pf`re=p=-=RDxsM0Ap4G1&J-g2b_U_6J z+YBn_q11es;CLNd9a(*Spu*`15D>L-Dg9`(Fo|==wjjNVc<5=;AhB_|9cLS`>P1oc z=Fmcub|k8Vf@F z%iEYxkKxv9BdYs)8mkB$r_)tnn0@Hjz+>pfR#Ad4WmnZX%0sJY+BOA!(-|g}3p`yz za`IM7eeFLm?yPQyT}AM3lvlPN--FOEz~DcB82}lJwh~ejHp~aKDMn0f z%K2dsl%|@5h_Gsk(~;Fbk~>FckmlBwR&FVh$9xb>MC?qB5yvp#|G(b)=!(y%}s#apGK}S=5|_G*uGG2M1Xg#*!43nB_yytv7F8!l@rm?<1dK z{$={wM^iSoudpRYz zz#sECf#!jXfj}j2qih{1cKQ+uF;J%BH^{~Dd;>Y7-lPM?Bnl%T&~r1ee1Ort9Ao0Z zv~b@C7y=s@wi#3v7*tPB;MXiJd>?^X;FC~dIUr~dlq9PUf=@`11s&|`d^}jD7&IF= zHw4n#D-uMM*Ut`lW!P8&<0Ow_t+s0v2$M{tWQ)^^0~~vP*sXi^by);4G_>VAwtR0^ z_|nBwB>sTT5c*h9GWgPpaFN>jP!Jyc5|-5-8c!^sF-jJ%AQC(nY+}Cvjxg^C@8M0wfm6z7 zJW7CgxSWNt+~wffX4XcfD(Qv^|5K%hq|<4&RdqS%+k5&`LM97UQYcu*;hVbZeBMGvVW6{L|1dy(0FP{;SMszSS7maDpL)jVQ>vT9yQ7NQjVcG%{B3;H#IjRH-^5i z$_2Em1o~R4sT=8&99KgFg12{4<;r+bm^58VZ`1A=78+Sbr{0HD6I!|GUfv$<86e=+ z{TqK#Xx7gxB)|mqIBe&#&Ud#ikhX)<#nw={>yrrVLD)7aIms8qSL)5e-IZpB%nE;_ zCdudSIW22^q+^I~2wC;VAn7Op*9)y6eYa|XBb@=x=;@B0y-jv+nNB1JlSP}pf~KB? z?cy?>q%t84r(ypSb$&s>QmqU> zO+>lCi_kS~gRC%j_K{I?$C2wFig1W*F5EUl2%Vy~;+xY-qISy77a zox9Lakix`3x?Xz!tZ`v>ivH9t;}@Q4Y3DhAxvyBM>Zl`KI1~xN8lJe#A&^hA(+%$W z7e;7)+@9Z!W`;Z$V1DDy#|WPO*b*g~;G5LKxR1^#q+Htla$oqOGkGe5{)gFZAfV&4L+7D}UQ~lqY*_?l2Hs+RYW|%bbY%B{(lTE$ z3ZNUk%E|*l7A7U*CqB_`KK3d+r!@&_SQzl^+90J%nMCBN2#tKh1WZi*FfgW$>m4fP zVCx*1W5hTA2_(fRKpG8*h1(zfeN--rSh!6-qMo{0snR*PKvZiCu8hn}yMq()m@wpYGer$yOMi2b?!SS|NN=mNO zNo$)8{ij(b&5gL79n_;)ooX8NO*RoG9KX-r14Q_}JzNbJfUyQNM{$`*i!RT0D=f>3 z4e72_aadpSk^2np$o{7;3OBk%A%bgwPifo;@-Cbkt?IMM+^HEs2ZsjPQ7&^QMUIlD z=Fs98+TV6LI}G}_#?uvm3n^v6Ts&|@uGRbN*nWpcG6;zg+>DARd%598?oS=htDOe< zjLo#lx%1R=AbCHW#{&`mqRJK~zuy<^(5oy0g3p!-V)4Gk5u|R_;`M9JJ#`h!1Z?>6 zcw1oIHnu9}E(A#ZWC|9li8^(8yXaA{yKC1BbIACoI_l*| z=>WGUZT;71L&lGrloP-+-#|i%o8Q)*1%&bHgSwy!@QYotMYVsLp5iW|S!qbwlD#`vBmZ%D&t9fyF=t!u;*+BgE8* zDV(bc_m7BUbG90+0KD~rTaI5U?{S83XNP#c`BY6o z>{KHgXfD2of`TC}|L_JyymMomNi`)qc0e`ww7!M@W8@_KJjr__H7boyw$ILXmztB4 zGl;QOgyglWeX2Y+B|u1~lG{s~cxQ^BZrkRDOo8ec(Y!a&aZ{_ze!{!^ROtMQni(%`M&Rb=e(KZ zW!`}K(M4bEId|pId$s-CV+L*hysGx_G+0;Lfvk({x$;m`UhVqkC;Qe8%UkI8-3KJw zwAAuqNID&y^yEo$g>~6=a}xhYE9YIB_`{Qo`t++e&!(-o(7AEmv*X+Pgp{ja$8@p|efZbWgzleAxK~n~Ws7)NnEb|dsd}XI z{Gkgi@#9C?YBOitDA|;AH6?sOh#`XPZamTT{YTac3&?Igd}Cu|+M*>HHE(sPwhX`T z^xIpsqx{OO>WJvb6T^Idzn)~@XusjN>1N>WI;%b9Y(!3Jg?Y@uz=_V$r;bE+_vwXZ z48C-2A1atKeyo?Kjo> zJjrn2^;fOUyZrs(Am;A*SffJ_V`n!{Z5CieipquxaX|K zBc{L|P5YXvr=Q#%(fg0>Pt$_}N*6vG(WT3%rkQ`{kDGG)9sBmw`K1@;ynEzmWomVb z^VRK4*f{jYG*>GvMurTozi`*!1D>69cu7iP@<|xhykFgiWFl^ zm0}7bLW~lOp%_Dedd{=8PYju~5I`ix2%}IF4kVgJu@D1@Zx&-v13G|hi~-OXam2nd z+Eh(U1`t8gAxMF`L%gc-WSR(o5Cw@q6|8!h>xmPB6t9w8j(^JlkOsxl0Hgx(K!7L^ z69WuoA{fXeM4HBQ3PCRw0*J&|(G;ov7-8tx_}ZAvn7CfSL=hEI5L85U70l((0r2Ff z#4+MkFB?^fxEfHVNK^@=k_%Nr#Zx(XBCVqu5m7>*s2+*iITHe`N?=sVfB+prO_L6U zs;bx6LlFWZnFS(E(Si2l=L?aFMbwZxO*8@II*K)b7%{PC5CbBDrj(amB!X>x3`jvD zZH8Ep`VJCqKXETXP*f0Ns!2HrYctwZT`NFBu}+#cWq5CiUVwZsNCz?kC3Zwbq^!ut z$Jl|YT3I>2u#t9+;MHCQic~nJVTl@J`oek9AznIZuck@O3sqiWk5u~3rxZz$d8(_+;1}~*Njfk3Z8%Z%Lc>ybB{d|3T z+b9;Rj~PMYXu#Zk5=`zUDW)-LbSs#(-7|E3LI`Wip?4D@nl!t3spMNSz)S>9`5jDb zD9Rro5F3wD0`#D}dWuE)V8LYrJNiUsN0?(nGonCjd>n~kgffPs<3~Ug#{u&%2a2La q$HkF&9K#Kxm_q;W!bt{`$&iyf&6=BU{V3BwDTCBtG{%jMH~a&jl(#Pc delta 36364 zcmZsiQ*>p``t@V%WW?xr$F^LVH|Q4Z8Egc9m2IF#0CQ?-{9*7$y|< zu-L2^cg@RLeEsw(7*|S@+S&C=WC?p%3a^cNXg9lvZ?NPQS!T-_Tf1fO9FdYnbF6k} zlY&r-Bh{ON4z7j7&dtui!pQ;TVq<3D;!f#>$4!X^H%~!fr2*+D3Mvi=Ar5(h012K0 z9sl${EaP?xV@68=lrEEMh9l`)IayESs9y^R5d8Do9kMD84vS{a*i|S>j+sKPR_T!E zug72Ioa#45dp)IrU*dpk%5KNjD)}Cq?y^LdyCLVFaq`+@&5sc?7-R-3U#fIvT%z-( z>nu&gW#toFxO(Tt=#Qxi+&uAjMwXj{`A0bscI zYCS2h5IXH}8mDHEEM%}DgHl%l(j&Z+ z%ZTJ-Ow^H~lLJK*s1+Bh_?UE=EwqH}`lYyspTPA_En?c$KvRU{9H2@Ee9}|dawQ{~ zScCKi7#A|&Mss_>+Out@inu5f3>ku#C`c9>uub1^6&9TB$V6!P`y@cTCaL+y!L{Pz zdLjv#jKHQ4>4;T6QG3Rf8Ik-WdK_U%$JeE$r;7sw7+^ETU#!SW(rOVN*F%n#5XM2N z=Ei9MHRGKRq*fV!e*-hKJ5Hb>v>kR1yFKbEF9cg8)v!PSQ zg8+`1N~c#BShaLHnzQMjU6=gOV0@iBF}?a*A{@6Pul?+Ln|mjzo2N^Z$0me6B?d1M z@beEqqETA9?1J22;PP{d#DH8mW!BkDF%vicTfFHIwD-v;P(06}ZD-Pd2Cp^naV;05 z+^CRkwK1jb!Hu=ypQ<6yX_@{;R(O9WpE}ZXH=J*Zw(ac7?WD?eS>AkBuKGL-b;(&P z=!T3{eEMrd$aZzUJWSynv04DK-a&C+kcrdJ{l)r%V2bpbo%r3gD_#<|E7dJ8svvez z6a%IhB*`qJV?%}IZZ}rukVT(GLrd*WedO`knbvGpH(=KwcYG`JY!OTY_23pJZkHxa zeo^*14i(jy)p}1RTgBXnZhCg%NOxi&cpYx}1S zP93?F%32Hn;_rgsTsiJlgK_5~O8eH39~kDj7rbdj;Qit<>^#!^P)v&O%bdL4&T*5;BQE4fNa|-exQVRNj zLn)QF)uOb?0bd`Fjw!{QW8G&;SF0 ziGtVJ%wC8}+9#w1)~Y}LPt{^ag`^siqpjEP>*g7T9uA}dkT=X_*-iaTC54*d@8P*K za|bh5Hy3kbd%!;}N0StLc)5QMO%3Qb0D>7MY)?Ru*<9r!vx6)vm4V8NIQVSPL>WqV z<#}XF-aY&KAa?39-lP}R70b$TcTg)6*ihsg{?z$yTX_2Onh`O#STIbI*RxEky4prb zJl&iIcP#v=4M7F_fDM%IYN=Oba%tFMG$$TKm3%impWPwq3QGM=%KV=SQ!W6S|1JwJ z#T?=G-;Z%qJP`%KS(AWNDLaVDAR0{CG(-B0nYk$)#}FKg`)a9TwKgFZ7ee0YG~SzI8kRzEW7&;&IDWf11!Rr8A}(DXv9BNNQ-Va{cYv4GX(Ds0o3C zc=(Y2(jgnn78*o)H;;g2jS7YRM+@Aybmiw(K5e9l|O4;jYEyh>H|tnG>& z@{rBkR>O{XjsvV$e4Mt5(9#Y&KEMH^{j6Se^_?uZcm@^hzY-yGEzP72k_Sbb}~u~PfU6;g>S)Ruw0;2z+bHDPSw&>4vS#4* zn8o4e`w&PQDMMH!AkTfJBh%0Rs_Cc$x!p=5YMPg=7u7HlPevOQLi>nXqd^Prm2g;C z+$4&4B5A_C8W{H7FmhgH{-m`2 z2tGnD{8IqN7hR{&OpN_Ekd@~}sS`;^nF)k-MqJp(s+`0}k3g^R9i z*@Z5MfsTp*GFV-n>JBokA3qXwGFH0dm$gVlSNX}}78)ITdN|d3`Mi8#6{{VpTV5(u z=e0x+!BfNyIrL5gA^Mxm@x1%GB_eIsK);g|&chQ52Ws~=ZC#S7@2^k6!`fu&4ph>|R% zTQqJU`q?jNk;B6~+`0>ZoJ5S=y1%@QjQ%m;Fp2-c$HwuJnyywM?zvdx)sbxNJ2f}! zv(*pU;%3gYqGX5_-u~s@=-Tvq>&WkmJbQ2$bCp}cB$T^3;;VgO7zp`988k=94($}B zheLe^3Qtc?%nhtD&R`l;t1C^}+(X}zJX!Hd8p{xOlfc_48S>`ioa7TIFf>F5Ec8dY z*fV9|W!*<-QmzR+A<4?j2jN)C2^wU8Q9xN1gwMKO%n=GdlLr5OyZeTV?}P?!7KnwT zJOno|EK= zBt7#QB&D)0wY!M=3cpE|{z89MpqFX}UZ%E+$wG@%ffWX{0y2x6INZ=Kpf{1S#Di#T*jB#+F?&sI`c9l&!{V)*=*o1|?b0(Dp(&Z^*vh zHR=DA7i<7*>_DazU0jL(_TeIQyp#c45IDzwd>BO%3Ut7M*mGSsItRW?I5FcDNO+vI zW-*tAk%J*V_f$A2Q{3O6qMdK&(eT|}N_E0~;QxRoi}9HBCZ#-i`7yO5+7BbHWOi%R zgImvpAfe4XdO!!KEs&W3@}V>8n^kO@&55fzjXb$k=rd7V*kV)X_5060cC1MZ3M zDLfU6iu)vajY7Nv$7b}ooHD>3bFK3h+L zToOV$w-`bltVnF%7}yN=Z>#w)*_NiI)bIb=BTExhpBX{#0;Zf{;nTYYutI;M`;Hv5n57d9>nhn;6a_3HlNkwj~ z@y`(u5^PRX79Y^zd#xS}UNr$$_Hr;mv! z6tBM&!}``y6OtG5M#ePKiW?D_k5B=4R20Pq0JJJhX~{1UTr_$l2{xq|*J>3I64z7f zhfp>`!~%yApfP)r=6MOf)V+zk{?K+v;*!fN=#lD9#(^sy*!@^W24UH9nD!Csp=9a| z>1AYe6SVp$=Nl0Ib@bBh@x3i7IK+l!Es&JE18+X z*w~vMK$7ASY0a7Z6gS;Lrlk-%ZfSu+#6v?+3XT>FNHkw;_J*KU+S!-C$D3ybNg(YW8 zE$hao#CYE4#9an0A8xHxSjBeufq!ptwZH%u8|jkiP{5(lG;|||oH%|=1?D;?dDlX9 z<4XdHqKLKB6GBQ%u9YVhh&thtzpglg<{?VjO+Lc7{9P!~4J~^avspEoOkxlBIIu z$)b<|P&WNHol7@h=jt@TGRii|=q%M6^^lcNgEKt6^9e0ni3hYHlZe#APQ}{#WB-@h zgh$L#od+*x*O}X9d0Hh6Nd_9sa(SBo_sp)iRC0`GTOE^LN-ujCvwtIku?sV8Zy8q% zqZze-F#_>>Lx8;;q5|bJBW`r<*Y1xG=q7!7-s{0r1@^=Uq|>?NaX)f>e|Xnn9>OzOp*r_h_?32^yf$a$oz=QX-z^I3Z`jQ;>4l9}P7$%|wGoNW{zGJ=(mhk~mNern=C zQ)Eves(A98HvhqYB-n~phXq{akeSkrmL9G?2Vz*XEozzmX)Yy@%tg|z;@q51H~B|@BA1AFw`YSiS~e(YxIJ*tJJoUAi@&G$(!$7p=2dRft(kz86`3$o}3sIP;o%J2`^gGmW@#+C`eXRN+?>S|9LdC3|fyTG_5*t}@b`fHsU z0B&?Rd)g7j{BcQ9VijO?tef_Vb}H))i(x(3vh;7uY}xBH!jhzkf~1~sr$_Gjz^aZQ^v=Kz~?}FxPy7X z!5AR6yl@z$nImuX`Z4lB<&0={a;dS|k)}bAZTzhcxtHJ-LDJBSRuG^RO<1qv>OvP4 zBnUQ!urBg#G7udV9U_>lH)OzwI^S%rX=xAl)8d&migX%@u(SZtUMQJMpEU^c_>W$& zDjK}KYv2a~(kxOXE_uHy(9dqoaF)mBw-nPE-&>K*xq4hXA3WZ%XZqaW%T*adHB*?o zE6E{H%O%aUGO)YDZFm{x`7tAJQphK!!s&iWp9)O5i9u{-WUwt0Aj_SF$B3yeX^c+T zmfhq)G&W36vXz2t1@hT~_%>J-?hSK7u8u4R2Wc4(%p7>=+5t?A;N>bWwZfJ@5kx!y zABmZkS%f~n%3iD4R)~QOz@MGju8OR17_0OVRd&uQ1fS&%cN{i@zweU~wMEkX4nc^X z&CUqF;jD<^a&>9O(YsXJO{l!ql{R!JbAq36^I*$RPHaFYR0{PHx2Dju&zab2hyio? z@*mxq{01qz8^hM+F;Dow>(FQOw$ORNMHNFjdu7>9gU&k4?zyNR?uCZu8ByJpgMM}o zHxxo9;(%_Dy5xH+dK^Jt&-Eb_L0`b>qOlv)dxI+dxfgg{=cCP5uK~h_^(Eoe@OsXS zH#DfZ;aCOMllQbBulNt?s$rgwE3t>KYtVNt-2=$RrA;nd{}kyfRX~c;9t|i@ zW5>0G10`U_a8EK59ts>LB}?GYPa$VIFOTA(XyHK^hP%8a2{v`2Bkz63QIi%Ycei2# zJ5ghu=$9msNO9#;}7p-g5L@rWsvJV*K^i+ z*g&|S_Ki{;9As=0dr%XN+)W>w`$Mntd5N@fyDn&jg`BcdXJt?w6TJK~8h{fL?KkLPNuE zAxOA&u=pmB+otiMn6rQ(;Q}QO42O6z*Kv;2p$C>q=Nb`%G7cZThB=~r96La?Cc6q5#46@zTO&KE zK5ai0nVzsvrsR(tfZR;yF9v0Z3XP0rH5}FK2%{*+dd=?ri0e2W3SDS%Wka6H?@Dr) z$Ch$x6x>xl6i~OY68dCweHqtHDF#1;j3|m3c_EyO8FN#ZvSWp!+fY#Yiwb0wg1eaC zqM5T?L%FFapeqgNp`qk=>c*plfzt?u8X5*%e(Ny~T1Y@EWQVy?%6Nzd8a1nFBH+_a zaVS@`1cd!iW&bR)gsUc<@c^GvuDO!5M```#3|X6s2kJXVf}x6l_||;UKI7eLE*=;% z^55p&>%(`AMkNr$qDzY?04y@?nw8=V*PlD&&jjT1Besa~L0_7!`U}!w6bCh|sn2;%-oIN_-z{)n zeo5J))kQSB*fb2t}?dVK3{H)%)kY&6F8cvuH5q7V`{g!`Q(Ola&?`X;2Yq|`HV(M zEPcr9cT^vlOckBCngD0sFfdUN>HUKJ?ZSz?s*DeSFGG(<#Ek)6C~LUO}KXf%~vmD%<%$+aw$maEMPSAoB-LwPzN5I26Y;QjqSh;L(B@Ks%J`p{ zi;ahlxZAlJMP)@qDT0^jXG($soBT7nJq%QEV@QvC28RdwuG+9aYkEUVsZr{pX<-D7hZHD6-5B(A}>{=xcaH}ujq7> zBG};(7>FJP-I5IgjqWxQn-Xj&G}O+dRooBFBtw~Mgma#xU=5S*SmG%nj}0@Stxi`# z$_$WYCRjgKh&Tp}I25khP;ub3B{(n~T&KeIq2DQrSh%qcB=k7|i>Wq*kyE4rbIVjV z+v9cg_l3^KzEB1=M>Gm-&MJ*q656O!r!}bOCCP8#8LD6FEFr9FE)4WR_ax9j{PqkX z^Qr^3HHM?CJ>)SjzSX)Bb2R{biQhuBBTMb)Gl4nN@vvT7YD2@V0@+7*)NyoXEAZ;} z$R3&pSiH)*@@|$4r;~}g|9Az*L@VLqF`bUEp5vnq1|A3~BFT_-3{6(VtcQ(gS!YY8 zVz%- z?3vGUL~ymkTM?60-kgON;j#^bb7&-U0g!sm8=MrG)GObVY(c?3`3-WYf<`4}jP+jy3$o*-NU+`gH^~uX*M?wc z`wyh|*OX1!_y^;>Yo1amlBAasre~`*Z8eWIXPpg4Op;|G+Rxc4m`Nx7+H&{@(nG_k z1lapF2>*bJT!DRg^tm0#X^thc6i<>k*C+Gp8!wKIW;3_W7XODX`88>FFAcCHaVH$z zO}r84kFQqh?U56bYY)jJ@!Yo65TqB!{`LW_>nyam&3JtI)UM zc%I5b9_JEhi(^)u+5gj~`pz3YTaa07+5Zf2pwElU8R^EY{;fYvcap<8TYME!HfqYhdLY}CAyQAnxOoox zY*Jy^Z&=a2&vNZh|52ON{%hfLoq$c5zzR1pwLu+akEUlOeLBwOn$rCmTJS#C@uzX% zPfo=N63^*qx+@(no+!LGmhEM(M}JN&{TXIPt(I}7W9mg=@^LEju)>U(^T9x=EXgrt z7z1GDJ&*JPW|i09JV9xFKvFjAzCs8{<58*iTyA4a^3bOR67}}x|8c3EsrOT^ z!>81$@0Co)YXC{<=K@%p!h#qhxoqMz>i(L%z5Ti`;8-|o`L9Nct~}aC?Xq3gAz#dn z5x5&9yba$+1(JUWGjhevH28Bk*)cZC$_hy`?4uY-j*;2ynCr~oCV3-RALR#V+TSdL z#KT|r1s6I<_<5H`ogKeIarb#{7lFEM?Qf>8aw%O31Y&U!Z+8nyH_%H5D$5LK5phhv z3}C;6%WdyfsUd3Ge=mo(ZAyh4b;wOy^)Wd!o5Tn&qUV)p)p?ckbF!^0upiDybbZ=Z z2W}P-x4}TV?qesLB8!OsCaMG^r(#|$Q`B1KBp+<@RI$<$K2GcPi@x||)<3K|cJO_p zgQj6<`h%_JPxH!gfTJOQ%ANM%+@i<#_{fDAy8FIh#<28SM;*M7_mJjxLfW-*gah=C z-arpk)rE%3dbhjrB{CNL@cw6G7Ze>J*f^iYRH?Mn3Sf}P68;EB%}oqsI!1@uEwW^k z9)p3BxcH*NFAm__^2E-QjlV7rx={fW`pw}1^^gTY3Lqh7c>^E8APIL`t&8flpcwZo z`Ni_wSbkfqRc}IwEtS{IOvtI|hHyM|s&p_Zv{H4Q5BoKz zmxZvsjgEn>&I|k0K+5iwVX*xCMQy2lP+>Z1rP1pqSb$+uDxnb&b0bAK>~duZj@C_B zIE(bvZ#5T`h&&QBR)~9_!E;51hfKsJQ9Y5g;FY>!kVEV2+4mPT2WTC-^a&~)C1t*r zOU|G>U|A=`+QBa9x%p*qbGjAW)J#(%S;&p9j!A$8VPT>n19HG3!U9c>Cl}IlD?j5t zvyHkTEh(Xe)~w1DOZRDbyEA7R@7KZ&G&X{X-`jZH??ZE&beR^EO&*^mMATQi6Pk!Z zWIG_uh|9Fb;f{dJN+d4Pi+rd6M?9Z{$q{kfT>(FS*w$}bnHL*+XwlC8%B%acyL92V z{~64seuweL*x~c%`B-h(q~Iyk2#169b1fO{2Yww%#()Dtr*&qY9W@&73L^vyXm&#R-AH5#t#fxj@K zzOWVti{||v;Ggp%44xvg>sO_vF`NE9ak-_5mrYqMw)%-uh`fGc(M?CpnKMkp+NNU~ z@?vtjrE~N}d1J{!$%POl%P4e_HK^=hxU>DL)78=a`}DN{QnD?OcebyHLv+EHjUH~K zH^q>bu1%8DpRV)9bN6CqY(#eGWXR6C;(o8+|NY?l&))objR|YLtshp0SqEL;?_A*% zm6c;~g)e9e^JwrC9p3-3*5jwF@-~6G5X}v#VF*U017yicqPia9n}r!)AHm2T4`q4XUpzxrwt1|3fYS%#)~a!r0Iizre8j^r z&LteG6W>EUjD8hxTKvKf9|iVd|2Zwz^o{JgkxgeBv>4<@5whX`zmJdt;8**%-{7YN z@mqp({l{|hG$(2|I8e6UX+vwxfF`fAO;i9faR{;)66>pSsm4U|H=Xvz)4xf&-~N_d zrF6Oq8*W1@PF$`Q$BBNA^{=;a9%ll4zR%n%zw~Nh%WXF35Tv-d*{G8JaC(*g0 zoI&@un=Yqf?06ZCBr(cTG!&*dRO!)!<54Y;@U)?D#W9FlPHyFuH(L35yxE9(Fqi2P zuI3#Gw#ZDUmSB5GVJGnNF?#Mod7AE~#dClt4 zl(I9yj=<;`xzr#v;#n4@%$;Ir5o~w((iq7H%jU$QL&o!vlGG-;6iu0(tF|N=b*|GI zx&Aucq|i=A-Q3i+DfgD~M7c5Bs#8B=Iuws4lYeZ0K zWuj7(@4~|3uZX$yHUck21#>|wO5+&B=gF#&@NvAHXo(-c|4=1F0_?)zk;Lhns*Ij+ zoe6Vfk6TXcv%yxALIKeDu)003Lw?E_d#)iJVf<#L0hJQC+$<2A#9D+tI?Rj93%6qY zton}QV?)^#g7=}k4BBt1f7(-$rUF0&Ik{zDTfjEpibDNm0BUIsxrF%sbJ5% z#VE&$4OL^EGm9rdJG%X4->CGN0g1kQVbK;6 zeN}x}Xar&(+K_-NOGXtmr`zK4)Qwp8@Kj>WY(;s`%GT+T50G@h7a(JX_0@o>Bw;}U zud8ZdV~Z|=EZn|qD8)?JLyr7bL(`X6KF*KjW#7W*an(Ya|vp8Q4;1Q z1(2E>hmDmG!u*L?Z_4s%GaiOKq$ku0N03UU^IOBw?dR~Z?k79^n%8dpJG9On>4wkK!dZ(P<4)5%Tfar-c-Oy1AV6BjyHGZi%2vU*b{>skUhp(9VYhFjqtM zSf_Xp*_gica*MWUCIL-pKPJ&)? zzCSr$z$RS8BkbC6Dv###3K!_Qj3ME22p!kTmF0BcB;q8pFi%>+qF?OppTQ}m^5baC zWw?qpM@vYJrM#Uwf%+Ib4I(i;gc@zVQj=}Z%DNIWchcMl4$%9 zAYgH!XL)n^DhCNhQf8p&4%v86#JO*1|bgnW!?)_c)TGhh@F)`*U#b6H* zhmKJl2Od44EuRbua>dE!0y*&+1=<-*#M02)GE&GxY+YKO2 zLb~L~CRYx3D%R97Q`E)&ha2Lh%!~Dd8jym85Ciu<^NUS+mDYLBw&J9Kg)t^sa5=Z1 zM18+P&I=g7*F<@T0BKd^H`u@6bIeD_wAexfJr_kH4A;*}LD(%$QGh$lfz2I1y^5$j zBNH1Q^sW?u!-Ui4QG}}eYMoj17f$%x03KinpY30J=_%+EGXHi%ycCdx)&D!sh9XG^ z8o(KUS{I&+kwO~F+T-iN@&9grdm3rivZZdMGZ4I5k+CQq9e2kX5wY$LsNRvRLq6tO zGj3X{h)td%N^m?=A-N+Nk#*^oFH7Wk903vKd_^HC!pf#-UI$=Bm)Gfg+UXc!zx)y# zl*W2FET)T64CYKt{gU~I|7~#a-@A^0Dx5#1_}n@e#HHUL5o*NKeUTEV1mY)7omEFi zFLVrirn#K?;}(odNVcI+Y2v=Jt=!oZ<%lemOsoVpl9mfNc;W(gEhwP!jFiPJ5;lBw z<+p#3g>tLg1=Vp{C-fzfF-Rq3ohdtsu_we|!8w;gAF9(0pWs;ul*#CrUk_OeX`JC!iD z6uJu#3~#rG$3S~R7$f1BPMlsJ2QGifzm9`sT#h(shD&O2yL51ftW27wb_tSWcj14WwGauXvXFTWB~ocZ6&T6$)(9Nd%49~h?yaxW+NoZ$n7oZuNDN*m z8Dy>ts$_W?FDuS`W$b~*RC8J3cR0z)2w4`I$aVVco+vv;qT~)CKC(^pfzJ7q-=x35 zsi}Uo6c@tu_q9qE3<}+Yz>(MhPMI%Y5pm=Oi7$Rue$_UUZviX<410+Q@8R03JuWQ- zehOBI<~s9ZVSgZX0iGmYgqH!sg=*exU>L%Q;KioEpE4#uh594D~P4l^tjx4VQ z6mv)Z50oihFbR}Re&G1<+$m4buF&+5z|_a3;;Hlox+r?-vqLASq|2JK@Q^iN65ddc z<`-rffh21#hc<4JUPUnh$ur*^xQtCESE|@Piddu-59hPrJnuh80I0565PeAonCNk| zj9U+yLSBQ%My8=qIQXLlZxNJC`=kL@G_De=G~kYYuM@=w z(wbfsH)cK#_`8%}>aSt-f$Aif4a_<{AOZ$i4}LkkkWmR%~M?fq3%xA@PU;uHIu)e zrq5gH)6N|vvQ8Fw>gh$A@I|q&*n1R17>a(RZLGYdgoG?R;K|U?2{uQ}4aCCy;f3Qc z4}qy5s`D{`me1e6T_4YC^(ZR+3HJBT;T7y=ds@h9kxUP7@YkahR&U{5d5rl!Cgp~5 z)360&heKcJZe6ULKBrc+ysT}iOv~g1<+@6%k+TDc+Bir$?4TcX7T}NFEkDoaGmy+h z(>03SR>;fK`lPa&SAFo2e&o*tSu#%cr=NxEtw5{%;0||!DZDQiz*@L?lNC9EtuE)% z1bZ+S2R2V%$0sr|M97&Df!@qO7^*Zpkx|p;P3`8qHARxbnz*lC^ZVzb98Aa@&SD z=OxD#X8WZ{kybQ)HJ$gPRr2?j#Xq8zOn?F)Ks}Qasw`SbeQ_xs4;^2OtUTE?G-~uT zt?JRY&(Q54V+9@P#=^$Pf8-c99bM-Xfc!39%yaRyg3uW-Sl*vsgZPtOk7 z5|sD(#H%}KX?J^%)0C^;-VT$k?bo7%KaJnhsE3lUzP2{BR!6|v%EaBKk8ynsmno*VS&NOh5iyA-9xJk?|ukknmG zuRXONc`=>jf3_iPu;gGaKRF=~sIO{Dx6rE+@9Mv98ks}uC3v;#Pr74KbU&?Lw(LQF zc`?Md?0*!YqnA)J@52^p9eJ!ND*iAYH0($pvD%t?#K6Z;*rC&i2uE!I)emUS6-K)& zV@q;P#G8s~x~q^_<2?!#^_Z$@Sof4js7vy$5gjqd@ugcEs2V=2WZ8j#xUborlMPGL zo}df9cC8>SF|U;y{%C4T0xLb;#Bvr<(;waGz5qkL}|dKHSfJdnZZZ`>J!R_Wj%&5qFF3>AaxPaZB^9;xuh*~N-TR({g-@gIS8n`HEQ zWGr?nob#(7;TKpgrpZ{TyL1J@pls|r$u&KR2jRzOihG$RgnGVDlhF}e;V#)5NOFp; zm?xuzado5q?AzP2?uFfF1EO+9l=#^)7VtkY@$JG*_8N|q|6M)=?Z=)e_wm_H^|QSZ z811cwej%rvUUOS3^@%ow?`jVEkSm$O_G}*Z+V zYLf15q(@0}K@1YtD<*149wkS>CCO(o0pD;2XVR0&e{{W6YItZ(;` z(R%1DZ=B5^A@MQ>^<1K02`U&X?>%_Y#YeIa7LzQGK)I=Rh7oxBThAw*rd6FXoO)&) z?mjG=#3K9{b-3=LNXt2mVF0I}kr2|tpVujNf(n&i5}U88%|2+LI^UMg6v>XqT#RL_ z*^9zy;)h1TrMPk#&0B7skJ63UY}0RPEEgU(!G}%U-K>~!%yU8OuV7{04t`cYitISX_VF$vp=`4q5-_lf9ZHFj zn?H)i2SvFFB=cpH?3bg2U{~n{=jb}q9%T}E0N#Qr@X^`KPdYw{8n;ro=5~RSE1Fm& z<1+8NDw+%iZb6`)XA1j(bLtbchE2wC4c0&Tg4`mMPXYszne0q(04Z)p-?X>#JVl&* z+zIsz_Q=v=g(b;!-~XM7q<3^%IQ{$xmwM6^kBxwoGmw{fR^4!-?asqcXTqxgbHv0`b~~$gHY`b#$2jMCX2S zrqo+xq6W1|M}WK({LolCry)TOq2lwF^nvO6>x-I~k@pW>cd|Y=$*V_ixSRt`2mSI-F7xav1JffH^tZ)gh1 z70>n5L`C~yU5o3QJ1(QcxG@UY72ffy4tDK?%(&mE0}t9P^ZRuY*c^s?=V?ykD_Qez z0NutT%nj;Uwf7Cx1rbqn{3uUvJdJHcUOfUC=(2uh%9!)$I8lX?G<+)zEB34qbNoZ^ znZyH`piJBBOe_1^c+F2fdhAgX1vqV)uK)0h02ElwpvweZmEQ)F$3}97q8VLUso?Vq zBD&Q*BfNL5h(kt~!rdn`JSx6IU`!`lgZ0#>_K4MSS=*x52@~J0YW*X$8Cu zgrm&cPF=ixO>Uc{*p$+AN4Mi&pOjqk(_D+Oyo@L$&Q;toYq#J8Z6Giok7PA_oAJy{(ZUNfM^U*Ey^=lMg|Ch>)Bz*oCCOf z@RGnT;G?jwzGZ7rYn57RF(Cq2q#j2{YE_;8klox`VPqO~mLJ>C0Z~Wy6Or$Z&l<+W zYzQ~nXGrA9Hk!kP2H`!>s@EYvizpPZ~`l`v^I-zHkuUTA4sxP&FHZqXVtB zEap5d_;yn^bAalI70kh1oSM-+aZdqHu-XvJYMq)$%+&ENulJp?Dl))~V@ADZxF|eq zej3f*Jz;w70S*-vNy2UzzZxJxEEsJ=Q(Ss*<9_OG>g|Blre;R)v+)b`;y1Pz>bP7t z94!%QG}$LZ9;&UUCL#tHmeRXkxHJ&hR$cBBKrNY(a3Z}<|+QTabv!W5qZW9yh{;=sNMifS+P@f)=4dC0TR{*7x8Al`Dy7cY`X@UsIh}9 zo{9J>P7;Bt*%m+B;LSkWNAVfHQY12Sy$~F0pY9y=RttV2;+<;?YB&uc?=hW5S9FYt zYgx2%c?jy%Cd%kQip3oy^`pTob%Ej{G!~M(n@Jjyn96L(pqq-r)sufT@Xn_A)$`CB zKF5@u6vJAKGT8wr5S-et&NE63&}qo*he5IQh= z5)|>{;J5>uY0U6;eZf?z9X5cRXlH6%q4fPXSIZSuQTh;JURkmoa3Pm;xI=Z3QX5S! z`}=YOvI9K)N1%t+OQ`gTlP0Ow33>`ynd3CBIAbpO>inW`tpKqu;zo?yPFgs(m9l%Q z?>}0&^@E$K!|%9pay!R>ULb6uNBU_?zTr%_uaYg#dJGfICE#YW1+Gq1*^)XN57becDWv5 z&Ds8FRF(Hpa9lOY=D%r9%A>aIf5V*rBxDmE5Cq46Zbg8~w6qfrTTuh94d;ZwLBDwilFu{Ys&78^Mo$H`@Nc@j#sJQpn%TDr>CEujy*fl)ZM>5iMFo;e!t%? z#f>wlgc(zz<=I0fOG+QZE!04*xy91;9Nen+Z)n}+F@}JVIo|vg^kBJJpHX*ReJvXA z+Osl3Q_~vH7bRDNfRI7~s*&|7wM^*{ z>^N5{4O+|Pa;mQ&8zsp3UgnQ<)zt9w}0iVz3%WI#9AV?lg^oWHDsx==JZ9(?>0KiE<~Pya(Hk0Ct)f`EzRwB_RWez<2(Sv9}DWBnZ;AaXApU!{F}j?(XjH z?tX9|?BH&LySu~SFu1$B>j2-x-uvC%*t{iIfc|4Sv+okE$A(5IPC8h{wNbZK> zgIkI%MO^(IL%e&|#t%o*`!6kMIZkd?gSO2-?E zoOKcNVtDrx+&GbBjgyxDRFuw6oh?BU$Ki9T z4sP)@!iwUa^Mo|2tsW&Fxs{HFlfDqg=n@z2RW<>WqC{wkcQ9D@p7Nny@8k@h4y`ZM zhddZ*tPcu1YG5#6ZsSj*tn+#Pg%|(LHpc`b244s?8gGVqOOb{+vQ@D1I&qxO&W)+p z3%*?JxWL*s;T11fkCUFDfj}bPs+=J@r>QGxYIlE`MU!)3A35>sCymNd3##4($)ZZm z2skAY>4t!XQW0%V-IiE43?fHB0N|}Rp?@3O1vltfi9}51P$`Q}(>O((hiIM(hcC;W zH1+~LpmEZ5`X!L5K)j=9bAH1vr4!&!#UXRXx*mguWq97IddjyS%- z&@8u(cA%G8%*dHbg*K=^WjtT?eMk%#3}-n+<-6v2F~e+uIe@T`%c-90(6j5p;kMPf zYJQwwq7d65I+REn`*#`Zfmm=zW*7W9gW@M-Sg3V(1NugBvNo9Tf@U!mQD-%FeCwb#Sx zZW>TJp?MIS@7B>F0FU0mrus~>66S6;;<;VM5{`E`qHw@~ z3}I9<>g56*67gOX*n+(t8^d_W)KyA87a#h}6FlwiU+Ma!0=!s@!~-Tjdwukt63LOt z605$XM__vO^%Nbwf|(yuhz2v=x!w@-99UzqI*kZ0#g=NuURf-WYNI?o+(8|5`xZ+P z6kLPMCdIeijor^@q4u6^Rc`Hd93ro=h5LQ(_vI#4 zao;t*yi*ad8#%bPWLMZ83Sxc5=S&;SM)42fmXy*KCiB1I7A&#Vz+mmepBBLST6 z6F6pRRCY_){jc}>*8s@%`GG`L`%OEq7E6Fx4o4{L)o_$lwIBP8$2{sG_ewz(MsV_9 z2(C6fE2}A@BHt}jOwYK`WH3M-Rwt8SP0d^8>+$UIBaO_{Gm_NyV{9rn0!rVtgh4;I zd4WHm>-L(KPZPwSXF#PUeMhbpFMN1gC_SD}G!V^Vzq~{2!tu*AQy=t(E4n>!Q@Aes z*9H?aGI5f{#)4!-^jt;bh3|vS!|WOJPVVt+yx&jYUVj|w;%o}9wKs5<98jlDy0`{H z%L2py#0=FF#)np_nd?Pm;>c{g96wwoj7SXX&=NCkIr#&jKXdMYrkqA__r`w3b}cpz z^87wTfb>eJ!O_j(a|6tZAtBkQaW`JG1d9P>5o47B`f$*OxybZChZFY(XTIP5%AaaK z(3w0b(>f6OubLoi{w20a*v<^p=KNYHqspS+he5YoiW}{LXh+VRyC)h>s>TALnf;G3 zwgj`-|CJ6BGgk+;0L+9?s;WHhs;G|kU!GoO4QW`C)aZbilv?02o84c}WlvXIrZmO< zYG0m4O#MvT;I#5)raMeY@59}n^+S?}&HaM*dm|oj$;YbX>n@V7 zk-@IZWCvX4(~}kbYWTX+9wTpR4aUYk+#4S}Ng#nY%KPePi(_nl!ZblaXZb2l78=5t=sXMj376)NSFaJoK ziH*`o4V5fkqK(S>i?&F#xb|Ze>5QAiyQyv5L)N;6YlNs)K70TK=*kw=9X1%1^2;jVjXsE zC(cqgT%&c~EtN2hi_@M1w=!%&PUL_@4q};MlTzu6Pw&WL@xa5k^Bmd5cZaey#UZf6 zm}8POWps%7ag0YicUj9gX(tc?Vog~^g5;}x&@aF+H7tZ&u;5yhlvm3+^;HB%iB`R& zTd6CCH&}V*{Jnh822e^v+bS%a)`kSJQP@92tafp{y}RvVuLTC4HoAQxpbu&#m|A%C z;1b<`jqVOWu*pHn9dikWHEs7Bjsu!(Cms~WjjHVT*wk~XL+_IfyV@MdYn^>T(4V11 zuPo848#7g~O*Pj*zaCn*f54Lf-t*|ev+NY9Q>}KDu{4_?;#ENq@nW)cS-XrGZl^TK zR#ZqwxUlMZNz{+`A%I$Qu^nkgsgT@_hafuBOH=hx2mt_XQXwuP3L=S zRUYdXVb5ChY_|(?(RNyqSm53=G=?ebvt!*9vOYb*zxzE2cc48xx_AMy&ZC?t$iq_ zC|h~557J3j)cm+X|L)eT6L4pp5nK1srW}d4+A9^45ne_YT`+Nv5-<0nceY>c#K8vT z->NoLz{m5Hqpp!q#!7SMc{*oQpkUQ}V||?}c`RM*=f3lfmqRUrFoZ=KiH!6O2_LHp zT1I7-+ixORLKk@5g1!m{_A3 z$}OY0Fd3;LeXZ4N1mIQ=(`=Kod7XSuQ1U5-6R2cr26Z$46lT|v$sAh@&sQj|j?VGLf!oX)2Yqw@tW-mcTcm(@Wu z-zKdD$y!BU%omTUBVTXL30hm{@*G3l0=ONRxm|(0(_~Z)u(W9ph_-JtjM^5ueH)2s=}?Y zMQ5eM;Ft}#R&e90xfHEF$#8cd8(%ke>aS1k;z^OFAe!KjSHOTL_7S-Y_8O-mp9PFfT`wjR z3ZpR6X$JpF144A&H6VB;oHpHxeySOf@2&or5cXzj%-h}$ess(>LCg(5kF=_aqol^C7e;Dbf|NBO#r0{W!fofKZtL)xJYM^=mu`3%y| z4@nrF-=2OmQbRintjIrIDSKw@S|YIB5KllIR_FN{Yi0I}wdmzr`New@w40T;cswO z+>}M`CpjlZ#jUo-!^K1G9!(eZx|09HvF{7ZUz3OPuI&CE*(>(@I?gV-mFP=y**a69 z#vfe0>-OI!(5+5&%O;1~ZC*eF=y;FshpVlGsupbC<>N9tnC-yMCIc8?C-AG@wI*Q& zncv^*+i-c4f9~4oSWhVMuk*+C)r5zEotxjkoZ`tb)6SOnnQ-dOhbKXa7Sf-CxAuM8 ztFGu=?!Be;SRaxp2P8ZskK#N+uGYqL?+FBhhvAA^O-E~i6TL0w(j$R}mX%+%4{;4b zD4#)F=hf@ByXD7sZ;4j0hCmQk+(bed>Fj;0w~2qL;(5iKd@25_4NCt?%K|ewZPgDq zMX8gcJSpb`JJigiT-r9oZYudi-A-{^s4k6~#lt=h`K$TL3V*jNZ>rID)m^Oe*KZ5Y2f>_yeaisnlJwtcvC4U4KM01$^6AIzNs0T5*ycaz)i zhr_zP$t1L7ujsSHfn5g>yNGrCsNl=3l$0a)CLsZHM)?r4ne?zaZ0mFvVWU7)up#8! z4r1x|TyZ%JO4sCSDmYM}bcmmHWFEFitUXyZ9P`;{BagGVv*jbNff$A&j5 z=DD{{-(-p+d9Nbosz{+sX{Zu2e{ddL&~Qr4JQbkY z<12jnld6TJFJocT$==?SRhA%95|@-xQtEw3`qfX>>KY^y*r)xND-qNvfZ6BNlez)? z8ZDJZ=0)KHhS`dR9#c=!C`So_RxW@4 zi|uu&6Q;kNdF_xl%$%XchS~K8cO5NsHWw(g)dw*0W2gn@0_Nb&*X2s8VU+-aiK@pD zSRgnhlI3kO`bzVU-wAmaOU;RRK%5*rCCm{b=*j4lk>0dXI!@H)_NTBwDv`n-W6pb| z80&ZJCCWS!RWzkg?XPjvzZqiOa7AvAJphS2-%RJC)n|W@i>EKQNAe(K+V^UffoLuw(0;}`$CPOVus_r{*J5atoCa9vDa@n};wVv+mACDO zqHto)e@47LNaZ%eclBEN)k?Xq%mUsM#$2jz-q^-q&5pS$X|{g92=6^jA3$mYyJ=sb zC6)DK5b~=0CySl>Mo=CBog;S1pp%UXzG;FjBz_6cq1^^>wE+X5?z!m?VX7-dx8Wa8 zxQ4dxh!Ie!=|0(2A+XYTAi+|^2O0|`Bu%0l$TKm*`MGzkO7aW`~GAaXWf4Z_YYRr zM*~4L=oy8n*N_jj7egIiHJ}K9-W0@YJ(KfHFx#U%X}F;(QxCJd!>PhZ+dHjerCStT zu+=`GEynpW9%mdu@I%I`((YgJ*~xt+ceB}SyNg}sl6r{>zNR6T+lH+!W$G04HqKC+ ztiUCaW;u@YYI^nmmGZ0slRl%DY?eHqxN-i@8UWz-Eiqx2H#U%+UXzLLSbmB^ZBtt= z;SM=9^brY!oatEb)mVNTsWZkg_s<|RUUhxfRJ8shgr(|?QtEtRxMuZs(lRcsZ(=%W z!f!ULxXR&WP*MWq`9~^YFjQIT=cOT4>lxO9O|7I|4-^VnKBd@!JkfXwHT0p$zjGv! z@un{a8~FrreHmMO0}l3HoH$OSL-0I;m|1h9ogPn+-(w>j&9AyDJoIKXXNctdFX{m` z>FAZerrBDFfx6-(A)(bfzxNP&7XEI58NsNOs*^xW<%WV}yLO$?VS*mfN~d1rArIpG z1Yq-d??jRa3Fy9nF^Y4YJQYpn&bFI}+%|QtS){STV>66=cQ3E9sQgH_HILqE#`i7{QE;>VtPZY*ykVNl7jhV?x!xcVetFP4rSx!dTzpKZq$hO&lK>YHNta?Z%7mLj0jZ)xv<}mre$Ytu| zS9n?MM?#U;1ES=Ias&`A>77tjjf^0ta}%>S8F9(3kX)nutE+h^!y+77?D@{ zf9NsLju#rRMb}+yo!lX^hE5k5ryg;1O>iV~EZK#ZVlv|ECFwVxPE79M!kwYCAUToh zbJtr{Q&l-PO$ceM((w`eswJ-}Dlp&BBJq&5=lW*=)_ zv}-E*M{0}u1waj@+CB&GI6rt|coBl(xgl_vqIE6&Q}=N#+~6Th^w7a)>n`T-f;p~o z;>NQ~inL$?i%rRm!-VZd(t7=c=hszb1xLDv9T8s*$4U-4HB-ryI!?<`-*b2~t!r1+ zp{#1Du~0dTV*}nyda<%I6w{wo)@?Y`MYz!))dqCSW#nm;3a`nP(9Gbm^=+kiGjYI{ zPpiZT=xDma=3&Izb}R-GQyFFQ=vfR7&AZEJgbx2``lS9fm(l)kpbOG-DM8@^pl zc$;0mmVyKFM6IFC+M;%(wdL<2lK}&f4NE#i6HfgN^pX_ix~oS5#1lADL^oy-ti7b= zOzEg69>$x!ddJ!DtZ|O&N%5hk;$)>A2TW*VFybDhEpI*Bbd;>EiH1St?wbR}f=SI4$?Ok{B+{2*bA(;c z<8QU1#CE~65&|%(A+o_3*@qiIrnvLQ|LyTu@riO@rE+wR!zb~p_!q|1iEn^A88gi% zs6LEJ;WkQwPDB6Pb=%C|9}b8=J%pQY3c5Bb=QHHdNZILE>V!LNs%T0OE2YBf{nkKD5u zkI4Xi^cC`PzrsN1S}t%@#1P{-(~dM>V5T;o?bV0>S@1OOFV0qNd-W7@7RlD87f@Wl zqIXT*JX`&>@L~S&2OonMVATO_-nKkCn{7oUrTfHV%ev?pr62jqj!M6YyW;NZ4BhO( zJMAo2!4)1n_G!?69vRI6U0Ufg-?kU?aDr)`SZ=j=HYx-TZ$Sc&coDFCz=AD_H}vOJ zNG$WF6;Xo@sq>_uyH>z=GGOG~vCAC<^$jw%Oh^92_SxYcLPYvE_kPd-R<+U}w7wz1 zbh>#!bOHMREydjwA3y;P#G?!>m_M@oh{b*@?9=@%v)kkM{Xh%oMh5!5eAQL8#6vng z3;`hPgnC{uLxnc~Hmh!5O-mUDe((Lf?f$g(`u=?T=b`hr1HOHKrQCDg2zDENcD~(h zwDAhrU`7^uHSILsCAvwdm6Uk4{|tNppxAmRE^N zUPl8HkJ7F@`g9{zP6hUOug096rt-llqPZ>sh0QM!jjMM zAS$DhQve6k$!AmRD32z=U6+rrwfhobe(yqdB#%HIPk-A~4-xuQWOoX>oSU%gc~t{i zNA;uw_Gj1r0I?{U`01!2wEtC73KLRem0rb^ACBDu;^haBTHwu)4l02BPdMcDDsL5Y z&;?T{%ku&~>UE{pZ%iXe9$za1sQ&d-_Y$~0<+zsoQ*p;kZB(_zQ|@Ota_YMn%gOSc zYDjoIzb1?Q&xIp~lnDEyS{JL(t6HK?jSSz?^6}7R9&Bt`G$Hx2ix+>ai}>PrhPazW zGNv4r*)4FOEFaQum)(s@`iBR4z6TnN5Z0VmdWPF;z`v?(f@#1wj>Kwt(hF`RyQ^r~ zwKdpLl=7kXuV3WY!DVy5Fgv8nP|HY22x(=hk%aad_6#2Fjc#@6z?>K&j8`(JlaDh2 zoDb38hyTF(yadBw*LY65+6K^Sa9Sq9iHL+AMD3XULS{QKQ&Z|FR)40ZL^g^|(t44EvKj53oqmCyz%(G{llj|9#@UZqBEd|9&@U2;un|Q|Dg-6eixJC3 z4LED9mjqYOTa`2AFfk#sKFAjANIQc&s0_&qOy^2Pj%^!>4w@lIeBZzx3h?I5+D;G5 zW%ywsb&TAS|Lcw2G%OLP!1k+qqD6~_@aNB}avwXp>x_sAJsP^9tRvR*b`Psw}oDoElQN^M;K5-2RS4K(hdddFguXY*w)e z*wfgKRZKxkwT1_ep$1!TG!qC`J{(y|Ut$5PzYvqR_Z)S6)#^$ofzG0D2yxH$rhVEq zGXoZ6&IxbY0qd%yOZ#WEmR+Cdq_eTYr!2yw@;$1f)OxIySEQO0$4&)wn)}A8naj|< z&dC{TaRGMsj((phC0G4UHkcODk|R?NtcvWB?&CrVR|%ISE3fQ9p2oCm+%vsu2`V@F zUD#IEu1Scw#_mRd^JlbCe5-XN%2V5};mZ0uz7M@CjzKt@!t9D3{xp+9rn{vispkV-*>$0De)IHqXede>8NZxTaIM@@MT*v}q* z*=j}(XKJ#3Z{2P|ZG+oXEUTA=qk+hjMX>Ze_%nq|Zz0{l!zogAKWP<`eOnFJq_)u^ zkr`Sx?{+*FTp&v^V^v?AzCC&z)iG{LWogpWzLuur2cKt+B9)YyusCZnYA@a^U%s73 z#5OEhyQ7G^9Vv=ERq;S(x+Z)gFrl>Ad>0xYw!iRH!oP#-$ckqii75Vtb!T;2SAzeV zcvyL!`Pm`g+X4Z*oKqwPpSZQVenfV}70!hF6D;TO8UdC5p&ax0wd~!5t8L0UE056u z0Y7bNjx8Xj)7HClAIw$3>wKj4P*!3uL?@Ls8OG2GK&NoLzH6Ni#T)7ed_SJadUV7R zFYA@0XXmLsr7*KYKuPPf@^%j4#$)K?_yN|drqxo+TzpwbpjNP2e8rq^k^Fgq{c+R` z|FmW+1JfMy{+J@|?F=xlghqe&);rJ{T24P#3`lsLI|O` zjp<6Jv=n3>H#_ooZRE28qNAla*DcXGx)uWz=J?lF_qHsnf4nGVEoc|3oyyI3CvP4{PRKPoI7}LD2SWGTq)edcC8Hnn%S!Dhz(j8yYPAR zY3&bx>NIjYLb{Mn??<%>{ZM@30U438g!i(aWMbUE%v8F>_ziZoW20V|K~uLH%`1LA zru@<32)tzW>u_BZB)Tad%)VYaVyb#WF4N!McKh*R68oF|Q5J;>2%(^Y*Vp0W_wpwN zr=0LoT1H%)|4JDMd^787(Ju`zOqM5;_BAV~SU9bp7IJc;UW1zia)`dP!S$!uG;`0g z4{A%99-L_=a|*4RkB_rM$4*XS|I2UHdMXs(DN^qD4o?=0>n>jqrA;L?N` zA^39|kI0P8rSh0A!U1YR6V27gu}ZN(`N3tybzjPBzIQetKyK7~(&Cw+OjT&fz2td_ z8u*+gH!zye0k_sJIbY@cj$XE){3Uw&=7zTV1NlSWUgXF6^Af!?JjX{1iefQi|CgJG zdHmXt&T5HP>EYx%H{dY0&CG>p60_%(}$?Z>0|c8!JmyXuNo;IFLMx%Vx&WDW;R0ira%v_kcfNW5D; zV$+NCpOs38-n9WRrFM2}GRD_q%sa@Rb8gfgX&DQsz zE%c;D!z*MA1|r-vDOUCTKe&{;npKq54jP=z1}rb47YM#mHY*Y=Yq91KhQeI0Nme~L zK;QKx!O$8&k3w~)$+l_(6h)V*&V8kmHTDs!lpmV*4=`s8gSjFH;L^1q)ABls{ulh-%dQ_Z zr6G!4!(Na5>3b=uF9wOep}vioz>draFlj(y+V@_yw#%--#bu>w)7Dry7sy|)ny6H ze}Fu75}^omI+lnQMq)d51W^%lX7!q~jXx}eMG0HWIhI_2|t zBtWI??UGDvSP5Irghti5JSsK_WDtu0B(>vt(YIq(J%_J~UEfYqjfL`y;iZeL6)spA zE_6M~c0IwTa1OZ*>+V^pPYRFr@babMG8lXjVC?MGsFL@{wfB0UZg#)>v4ztql7{{) zD$UkXq04B=XZZ0<_(&z=n((yS8gLU1iLPa}+27W?JizRPa; zplWh+Q9h=Cqkv`>oNED=1gnph-3g{jOko%6P$z(akVX243a^>yDv$>bwBy$wNJd>V z(HThpo%B>smuHoD-`-X70P-s?o+;;*Eq%cJ?W4R)~(UM!u;9IpWs_yGYR zRApuM`j=P>K;#MmMkFm1Sj77G6pqzav=lw>NoApH4>kOncC|qvP#%#U)HEQ3$c>Hp zvU<}o0$aR;9(V~Q)89u$V6{&J5eWAxnBQ)h5>W`r_Mj3F^0H@mTH@krj@RcWbU@Vd z5z6H(s;|%;S63{SH4vu>YTV5oY|K~5l!zEu4pYvfnW;%NV7LYX+dF!8^elyn7rDsj zi}sS%ZqDAWp?;E_4c^+;VU4HUr$)!z1uG~40^l$7bBV^L9i=MtwH7tkvL(4U8# zyY~n*S{#i%x~{yvDP#tuQvljvbj^AFsZPOtD>-fFj8)_=+>r z?tWam_41(j5aO=eBi)ssg0<=RFdf~iGx?vpNME0UvTXIXY0TsDY<6P_wPlH9EtDGg zx>^2JqgREt8*r~Yrt2ADJ6+qrf(p%KX_Dbo<$er#>2^el)#)OA8dewy&%zasr*#f{ z?s|t=gUJC5^&6mMYVTH=6E7-}kuX9Y?YHivI~%dI9uUdzrPk33|4k?y9FOn)3hL(2wA4 z=uG?Bae}lyc1O9@-lK;mU1PjWAAZ7uTfP|@uS(up^9i80GEi8#ySeQ&* zEj%rl9Bdpt+=yA&{__fZataF)F)Qo;v9K{WxulGMQxLPTa{V783oAF1gomrsKO@h7 z8rhgZa%uJ`#4PMA|7m3B0-dDk&=Rw7{FmD~c$jp|Z7f_Z+-&|mp7XzqjFY9wG<_WI zUnl>yXHFInU7^iCBiDcFnTxIII~xTY-p$#>+=7^ehpQ>Nq#7KyOVS(4FT%Eozmx_N z>eb#G1hT0JhLO;gR+G^H-B#HA?`D%xB|H>NZ&6NKDN>VTtvn5snog4^sCOk8MpIfs zMOh6ru}TCoUp4;Ur%e;9sZjrYSj-@;ZNC3&SRmw$FvS0SJsvL5*DeW9 z=Hs%O{;GQF?mY+rEjLJX50$^af5bV}9Fkiqn0nZ904#ST7Oc3Q&>jo>R$>)pOpX~c zr$axeK^GN)xnCRQCnS%hH!JS0ustCPAVQ2eubp=M2a*_AwnxTL!dFTR62h; zVJU86fKv%f(8c;GG3>sS!&K*kwtmzVVx*f$P&1N91G_YsYc~lY9|WA4i`f7NK^PCd zgz-#`3~}eXpU*tR&y`tshLhTn}G=y?B&GTEFufO3a zoD`r>b@%NPIba2IK0jyJ<-(WWG!6zI@`Nc$9pkt8s9=K8V%&5R`P*ip!mkmGl&?m9 zEH7{Q6mJE#+n%UAX1tmQmH<&;Ma|LPr5;_*O6UMEhYnELLz8!%ResOu{DhzGSIe-3 zing+-l4tTS(2VZ#*%Z%p-8^TBB5O8dp=SvgMvqaHLEe;8ik?dDv)vR5m44MbwmYOl zNJkD-|Ip23c-stNF)f+E@$%O*%9c=m|ByYof+=$)-o%C;HP0Jo^W6k4(5bWH4@cuU zMqVpb?RZR<{#MA;2SM2~o$0Z`UKsvpWER9w>AD%moqw#rJM8Ku@AhbNj6u4e>zNph?nwA3-{#DA!E>3u5;g%j#WpE(=KzzRD8&ED~ z5-j)#NL#G!vk=BjvP9-(nK68HaH&<4@k`YtA8WV6EIq3|*47B-n%E>af3q4*?8WIK z*Q+}{D=lZl$L3mIHYcC|P~3O!kjk*`)4zF&pYK9B!k(u-t9}K#+QCa*hqRC7e>m$J zI?)#Ji&h?W7>GrLn60otF7oc=P2eY9H8{RzDO}9CcZ5Hmqh7Tr@Dm=~n^w+TV`KJ! zX!)DKV5X4n;QjCjA%8j9IcqUVP}8NZVvB(Ztj zE$BEVuz)+1@g2Z*p^u+l7ugGJE6M9lPgq?iFIdO6<>!nWY+v_D;_riLOPZRA0B|JZ$yFYs;T*AphIO{{ponLCZH5v2K`_aB1Cu z=$Nne_}b`1_^z_kp}yeV5axU)#wOUdmh4|uadT?s;2Dnb0LS3xYWHCuG3otsDmpf8 zA8EkHsE6l2gcyCef1EdS&6IOp8heCDxcDilBJEr-G1WT#a)ZHqLt{7rr^VWF|8?c? zHsp7CM|22`7{>;xB0TJF8CsKx{dwC`v$#VrU-bH&8eueg&Aa5ZLt5H$zU=zx+Nkbm z&3(ai;)lh6%JiG+>Z#{OPqj-G>$Z)(XuKcu+fw)aF@EJh?#gErpv0N3g=jvR!O7=; z(R2p8|8@&fI8L#wht5-aaSYd3_=SXY*W3(~mW}|7c)xz~SNd@c$9NgQ-rYsByO(k0 z2BEfkAeK7^_ow1rbb3$w_~E0%Ya%a~t6RnBy?1g1BcLuzH9$LVGJw=dRLSk!dHYcs zXAzw7F5F8HZ%WXa3m-0;gIs=+3(yh+a?_BKeGIc zhN_*@Bmr~pmlh|u!R~o|71{eupY{p+GPF!yQN{Py{f19vBZC2JQob))JBc!%ED~Mz z`#*Y|AH9DLf92dTtI^KK{<*4z``fzT?(MlFF~Z2vgjCuka4=O1F$v{35y8;Topsu@Ic9t`? zQ;2?2V47k@;C?WUu14c6u|E(|T1K##PcnvylITQ`%Pn)tibi9swH0DAlqV%p@Pb|f zW;f3K`A-(!a!=mw^;ZG}Uj7}Q)MIPBjAK5e7Y|T8;A&u zL`BNo3NWnMTQHg&0;q26U173B5y=8tWbO3_{CAZbeGAoK#h zOhEA0qqzvhAFyd5>!SP@BNAZZ^&|`QrBPc2oU=Tdje4DM5L_~`vK?L-9&qgLey9G) z&y`JxiOG)t$m;z`@l%gLiNp{3tD-=q`xA%wNB?{jaqfH9Bg$(e54|+`he}|AF7vIS zc?ikU76O#|?s+BilaO~~9WDI36qqYY z0#^Phgqov<1^9wU0!#VJb;yq(`w8tj+-yWFb@_pCi1A<)`Mu3d1|-uJu8+ZkP!=KP zK@O@Y22&uveCz|{mYWj^%?&~c4=QKbQXlfl-4-v1(3*W#xklV!Qzr2|Kn{Q4NTcZiiG_Mv?|0x4 z&4_0PhLe+i)jxHzeK6=3QTR2Go{fm6OFjFv6SiqE48eH%bz1{D;&ML(Hzrj>AuG8J zera-q-%?Y-y$}SjUa_p4$);liO#|R{qKYj?r3?xCZn(?;mRx$81xI`9&?o5G)nw`n zcLpXm^q7KiG6uQxd*!mI{c%0lNCgJa?u^D$aUcMbEgGEoUi7 z;58O@qpQs4ov4@nNDWcR?;tXsh==tooN#PymARZG=8Y&{weU7%8c4}0gbEZvC`~P@ zvfSPDA$f9(Xi1Qf_hnV?AVTM?D_JdazO-4xj*xD=nn*pUbl3X)03cVmpL>s})(h?T zPP3G7{=;+ENY#yf*_zzGF+Zn^c&xpeq0}fiMU2j=ZkLLj6$%w$M@)TkMrSRz65*Ei zGEMvcdCrO>jIHdWJ*TR>Q0>*K6REs6DYu{oVmHxF*q9`Jh- z0hLX+VZ)Eux97#42G%qrx6i2ELSu0J{pl}I8KbZdGK-M}f;>SuS}ND&GFJeZ{L`VB z9cORycWwehO4~3x_!x6DC#?iKIDf*RGGMR9-uwebF{!6vw*b}&dc4U_o*LYu?)nnl zM+u#x?s9djk~B$IPi=+{;CNgQ$?+Qx2w=*)7PK&Ikvsi67$Zzb*HS#YSNqgcBq>Pd z@yMa+@+%@teIp8Tl2+T*Gn})G-JQeVS%4Mj-l5dTQXwsc%Ao(kZ?ck&+a}* zV3PiE3tT|;@A`Nj@ulv`5|{h~zY#s(a*L!3Osz(*oPBnD_bpXAGWxkp(;#FtknO!9 zvCR|$akAw>hfdvT-1m8p-E^@is4jbv;_+%Tr`rpHS4dl-J33p6f3?N3JZ#sk`hUko8-F&nGe7h7LChujdPA|A_+@R=}bG_K!Q+-=dnO zwHd#f*Ax!iBkzA?wj86e>$nOzr_Z2_RGB!MhSFpn;?)OTSDqxhb2cK&s*DBUUt+Hz z9(Fm`E<@uX9BLPS79l)dl?|c4o3u!8l8$2@mBI)W&fc5PuH?cDHn>8aflplaYxl@r zGG*Jv7e419LonMaADBjeCZ=D*H{|z_&kLi>W^CWXuk^8H_hs2+ZscM)Ef9iBEPEmpvo(HL# zwLrULm^Yg$e5TY0a9^N+GHXZ=InIfh$vfYV>Dqc>X|s+KelqVqBI4jj!b#TQeGd`wYiU3yWrtFXKrLwfA-p~bY}yGx}bIXlJ4 z_Y#XGBpasWB;xZGQ#d2kT3~5& zP=Ak}FT91RD)T`@>&t_Q8g|_QGC_Vu+ zAu<10GumHRvzRQ@oDAr~O1f}isZ779XX8?RJn}^w*paK=2^Vm=)Xzm znu@!caR0xyu05vBDvH~z>!dO0e2h)A0UI3;Gq~@2Kg15%L%`~M1chm~3~Lud+)x#zMP)k zbAFF|ZrY~phlkAgvcgATWA(bhPlL5%?#|Csj||K>w^Z5gJNw?L{awC^_1(13^Ic7# z)9(ykIhMQi^xE0puWuY5zj)1Ot&8e9?HPKl$L3m++`8BOO2OeiQhw1>)0DqErgwK% zvCEM%ylHLl>Vdm9XV9Lp%)l{E&)}2jjh{=;=Qk{mP0LK*X--bpA00W8cJ;YZbE(Td z(iYG1U-CGor)q!4zS`fWhOVc#uE<-Rc(5_n*ENtc<*z!Jw|dQihUQ7zAandm{;5vM zJwH59^TS6&`3e0S{`w|0HD%UcH#YA5<=jtGEoXX-xa}_v6{LS#e(9QT>vVRnnxAPqT z`A4!Rk~>?9AF3BW7N6U*zdmMS&ob|9^YDp%2`Bia_6N>vOKM%S>&o?RP%@K~^?LcZ zzifG5!^-bZj`sI_`S)aC=izsf&iY}!(edi`fZO>+_PZO~JXzzT{N)?i?(g_2wyE&c zzCW9uegE=A#_^{EuISiOcU|=C-7$XzqXLQd-qW3#;d|_}vCAKO%sX63%Mu!E1AA>7 zvT~j(c)l?zSaS2WvohFbUAzvaLf}obTGA}Q`-0Vyd?(-t0QpM6+hDbzMFe1PpVi{B z;!x=R#g+sU6G-Af=!)AFXP>)am>NKd0U!)Ri*doU7!gQ`F$}Q!TxxJ0$}=MhBf+&q zK@n6IqzoJKe|$sNLeo@WhLspV0IT2tG*B40dBgx12JmLuEDlp**H)oa?EoNxxt0f^ z))f(knny6kDn*oVI7g-AV*wIC22~RQ5?E-3nYJLnNQaJLIP^LGfYc_OHaHih5NL>r zp^J?XC*BX1NZJqyBXw>Kf&mO)ITR%FEpe4zf&^7XVwf2kN@hT{24H;N2obbN!>F7C z!E`zVg=#Z^18qA%K$*%01cZcJKV)OMMYyJoWL&7|AT*d-7y`yr^FR!Us=xg70IA?0 zf~HXEf|zJ}L5#VE1j7g6HEFwo5Q)$Hr5iJi0ph|~{fwkun0oA54 zB77cPn57w!V^`5rPAIAsVe$}C^C%!1V#$_jY0P9m(u>~L39x{HeKjK~AT?r?0{vf2JW